TOP カテ一覧 スレ一覧 100〜終まで 2ch元 削除依頼
東芝の想いで
初心者質問スレ その133
【ADC】A/D, D/Aコンバータを語るスレ【DAC】
第一種電気工事士の合格を目指すスレ
☆電気・電子の宿題,試験問題スレ☆11
【電波】無線モノ自作スレ【ゆんゆん】
Arduino互換機
Arduino 信者は何故、かくもキモくてバカなのか?
初心者質問スレ その133
【荒らし】電子工作系人物ヲチスレ11【粘着】

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #27


1 :
前スレ
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #26
https://rio2016.2ch.sc/test/read.cgi/denki/1540898274/

2 :
FPGAマガジン (CQ)
https://shop.cqpub.co.jp/hanbai/booklist/series/FPGA%E3%83%9E%E3%82%AC%E3%82%B8%E3%83%B3/

3 :
FPGAマガジンNo.20 発売中断のお知らせ
http://fpga.cqpub.co.jp/

4 :
はやぶさ2搭載の小型ローバー「MINERVA-II2」に不具合、復旧は困難か
https://news.mynavi.jp/article/20181109-721470/

5 :
にっき
http://www.nahitech.com/nahitafu/
http://nahitafu.cocolog-nifty.com/
https://twitter.com/nahitafu
(deleted an unsolicited ad)

6 :
FPGAとアナログの調和
http://www.tokudenkairo.co.jp/

7 :
>1
いちもつ

8 :
FPGAマガジンが休刊になったんがこの國のお寒い現状やで

9 :
それもあるが
あの件は著者が夜逃げして
原稿が落ちて穴開いたから
って誰か言ってた
それでその記事だけじゃなく
丸ごと全部飛ぶのもすごいが

10 :
公式見解は編集長がハード的に壊れたからだと言っていたが

11 :
https://twitter.com/2chan_nel/status/1128610585132785664?s=21

皆様にお知らせです。
現在アメリカからの閲覧を一時的にブロックさせて頂いています。
大変申し訳ございませんが、改善まで今しばらくお待ちください。
(deleted an unsolicited ad)

12 :
クッソ笑えるわ

955 名前:774ワット発電中さん [sage] :2019/06/04(火) 22:17:16.40 ID:VQseM9s6
西海岸のASIC屋なんだけど、日本の半導体屋の求人事情ってどんな感じ?

13 :
まじで自作自演笑えるわ

なんでこんな自作自演するのか

962 名前:774ワット発電中さん :2019/06/06(木) 07:00:57.49 ID:aQNMETTw
>>958
956だけど、子育ても一段落したし、ぼちぼちに日本に帰ろうかと模索してる。
人材紹介会社とも話したけど、そっちにいた方が絶対良いですよとは言われた。
でも日本帰りたんだよね、食べ物美味しいし。
でもまだ仕事したいし、仕事する以上は相応の給与は欲しいし悩ましいわ。

14 :
判らん。いっちょん判らん。

15 :
騙されるやつがバカ

16 :
気づかんかったわ、自演だったか
言われてみれば、確かに…

17 :
>>15
>騙されるやつがバカ

君はサイコパスとして無能

18 :
>956だけど
俺には955と書き間違えただけのように見えるが

19 :
参考
http://www.a-phys.eng.osaka-cu.ac.jp/hosoda-g/site1/FPGA1.html

20 :
ななしっくす土屋 アタマワルクネ?

21 :
それはどうかな?
頭悪い人を演じているだけかもしれないぞ

22 :
釣られたアタマの悪さに気づいてないw

23 :
結構ルネって陰湿なのなw

うちよりひどいかもw

24 :
FPGAにSPARC実装してSolaris動かす方法を教えてください!

25 :
ググってみたら?

26 :
linux動かした、ならなくはないんだけどLEONでsolarisって、ひっかからないんだよな。

27 :
西海岸のFPGA屋なんだけど、日本の半導体屋の求人事情ってどんな感じ?

28 :
27だけど、子育ても一段落したし、ぼちぼちに日本に帰ろうかと模索してる。
人材紹介会社とも話したけど、そっちにいた方が絶対良いですよとは言われた。
でも日本帰りたんだよね、食べ物美味しいし。
でもまだ仕事したいし、仕事する以上は相応の給与は欲しいし悩ましいわ。

29 :
>>21
SHでーすウヘヘヘヘ
儲からなくなったからFPGA手配師としてたんまり儲けるぜ
ウヘヘヘヘ

30 :
>>24
FPGAにSPARC実装すればいい

31 :
>>30
もう少し具体的に、SPARCの仕様のありかとか、もし先人がいるならURLとか、教えていただけないでしょうか!?

32 :
>>31
>>24
FPGAにSPARC実装すればいい
ググレカス

33 :
教えてくれそうなところだけでも教えてください><

34 :
最近どっかで観たなこの流れ

35 :
>>33
ググったのかカス

36 :
カスカスうるせぇんだよカス

37 :
>>36
沈下す

38 :
たとえば
http://buonomap.web.fc2.com/Leon-Linux-Boot.html
とか。soralisは知らんけど。

39 :
ありがとうございます!
読んでみて、わからなかったらまた来ます!きっと来ます!!

40 :
>>39
ググレカス

41 :
>>40
効率的なググり方を教えてください!
へたくそなんです!><

42 :
>>41
ググレカス

43 :
>>41
別に興味なかったけどググってみた。
SPARC Verilog でぐぐると OpenSPARC が出てくるね。
さらに OpenSPARC solaris で 勝手に opensparc t1 solaris 10 image の検索候補が出てくるぞ。

44 :
>>43
ヒマだね

45 :
>>44
ググレカス言うだけの奴より生産的でよろしいとは思わない?

46 :
>>44
確かにヒマだけど、返信するより短い作業時間だぞ。やろうと思って 30秒ぐらい。

47 :
昔のチャット知り合いに、ググれば済むようなこともなんでもネット越しに聞いてきて

なんで調べないの?とのこちらの問いに
「だって確かなことをわかってる人から聞いたほうがいいかと思って。」とか言いやがった。

彼は自称T芝の上級幹部候補生らしいが、あれで上級幹部になれるなら、T芝終わったなと思った。

48 :
>>47
昔東芝にいたわ。中小より給与安いし5部署ぐらいハンコラリーさせてクソだから辞めた

49 :
>>48

乙。

50 :
>>47
実際終わったじゃないか>東芝

51 :
>>50

俺の中じゃ、JALと一緒で、一旦つぶれても、事実上営業続いているっていう企業だと思う。

52 :
魚を与えるのではなく、魚の釣り方を教えよ!

などと言う人もいるけど、底辺学校には魚を与えないどころか魚の釣り方すら教えない教員もいるのが悩ましい

53 :
「底辺学校には魚の釣り方(学習のプロセスや考えかた)を教えないどころか、魚(答え)すら与えない教員もいるのが悩ましい」

ではなくて?

でもなあ。ほぼ全入と化している高校までだと着席させることさえ困難なこともあるわけだし、
大学でも学習意欲が乏しい学生がとりあえず卒業するために、先生ができることなんて限られてくる。

エンジニアがおよそ仕事ができない環境に放り出されたときに、仕事ができないと責められてもどうしようもないのと同じ。
他業種や条件が違う人を印象だけで責めちゃだめだと思う。

54 :
>>53
順番はどっちでもいいと思ってる
どっちも必要なので

一時的に教員経験したことあるけど、卒業研究は魚の釣り方だけ教えて後は放置する方が楽だったので、>>52の順番にした

55 :
>>54
それはとてもよくできる学生さんだからできることじゃないですかね。

56 :
>>19 良い先生だな。物理よりも測定器作らせて組み込み技術を習得させたほうが
いいよな。大阪市大の出で組み込みも既にマスターしてるなら就職無双だな。

57 :
>>53 間違って底偏差値高校に入った経験があるが、何故か理学修士が担任で
クラスメートの過半数を別のクラスに追いやって、一部の人間だけに微分積分で
解く物理とか、半導体物性とかを放課後強制して受けさせられたな。

受験に何の役にも立たないのにトラウマでしかない

58 :
>>53 校内で上と下で偏差値が40ぐらい差がある高校にいたせいか、
学級崩壊をみても別になんとも思わない。

授業中にウロウロして奇声をあげる生徒もいたが、あれはあれで良いところがあるんだよ。
社会には多様性が必要だ。

自分と似た価値観しか認めないのでは、それは社会主義、共産主義支持者と同じ精神構造だな。

59 :
授業中に奇声をあげて走り回る生徒とか、まだ人格的にまともだよ・・。

社会に出たら社宅に侵入して物を荒したり、電車でいきなり後ろ脚で蹴るやつとか、
自動車でビビらせようとアクセルペダルを踏んで、踏みすぎて実際にはねてしまうやつとか、

そういうやつばっかで困る。

60 :
>>53 別にいいだろ着席ができなくても。組織(ある属性の)をあげて陰湿なイヤガラセをして蹴落とすことが競争と
本気で思っている人間よりは。

61 :
>>47 大会社は幹部どころか一般社員もネットしないよ。ネットで本音を露呈したら
ある属性の対象者になって、精神疾患コースやからね。

62 :
今時のSNSは、ヤラセ(何かを演じている)、人工知能が半分。
あとは目立たないように、食い物をアップしたり、事柄を淡々と述べる投稿。

本音なんか言ったらアウトだな。

63 :
こいつ精神病じゃ

64 :
FPGA (Fool People Gathering Association) すまんのぉ 笑ってや

65 :
人工無能は昔からあってだな

66 :
>>47
漏れも15〜20年くらい前にT芝の人らとの交流で同じ経験をした
T芝将来ヤバイと思ってたけどやっぱり潰れた

67 :
twitterが殆どbotだという主張は割と当たってると思う

68 :
>>61

自分はそう言う処世術がなってなかったので
そう言う不文律の存在を知らなくて
思いっきり2chに本音書いて人生詰んだ人

ただ地味に今年は五千円ちょっと給与が上がったので
ころがされる感じではない

生かさず殺さずの飼い殺し路線w

69 :
>>68
え?転職して実名晒してすべて暴露すればOK

70 :
>>69

そうしたいところだが
そうしたらガチで殺されると思う
色々とブラックな企業なので
何するかわからない

例えば転職先にやってきて散々ディスっていくとか
転職先と取引があったら圧力かけて
外して欲しけりゃこいつを嬲りゴロせ ぐらい言っても不思議でない

71 :
>>70
そいつの実名と会社名を教えて

72 :
今日日ヤクザでもそうそう殺さないだろ

73 :
社会的に殺されるって意味な

74 :
こいつら統合が失調してないか?

75 :
エサを与えないでください

76 :
元勤務先から集団でいやがらせを受けているとか言い出しそう

77 :
なんだなんだFPGAメーカがそんな日〇電気みたいなことすんのけ

78 :
集団で嫌がらせは無いが、社会的に潰された奴は結構いるよ

by ハメハメハ電機のパコパコ太郎

79 :
統合失調症放置しよう

80 :
普通にあるだろうよwww
社会的につぶされるってwww

転職したいときに、転職先の人事が、現職の人事に確認したところ、「あの社員はひどい素行で〜〜〜」とかあることない事
悪いことを吹き込んで転職を阻むとかありそうじゃんw

そういうのも考えて、あんまり自分の勤務先の悪い事書いたら駄目だよwww

81 :
>80
>転職したいときに、転職先の人事が、現職の人事に確認したところ、

そんなことしねーし、聞かれても答えねーよ。
まっとうな会社ならな。
2chやSNSに書くと社会的につぶされるとか本気で思っているなら病気。

82 :
>>81

おまえ社会人じゃないだろww
お前のおつむにお花畑が俺には見えるwww

いままで屍の山見てきた俺には確信を持って言える。
79のようなことは現実だwww

83 :
ル〇サスはFPGA界隈では相手にすらされないから安心しろ

84 :
>>68 状況が酷くなる前に教えてあげるけど、集団ストーカーっていうのは
電磁波(マイクロ波)を使って、妄想を見せてるんであって、

日本に1千万人いる創価学会員は、その妄想が酷くなるように、ただゲラゲラ笑ってる
だけで彼らは別にターゲットのことを何も知らんからね。

私はやられて3ヶ月ぐらいで気づいた。頭んなかも見られてるけど、それは
中央のデータセンターのみぞが知ることで、

みんなが知ってると思わせるために周囲の人間の声を借りてあなたに電波を
あびせてイヤガラセや陰口をしているように見せているだけ。

人間ってアフリカ人だろうと日本人だろうと、ある特定の周波数を与えると
テレビのように映像がみえるわけね。

85 :
>>66 だからナリスマシだって。中小企業勤務でさえ、自分の勤務してる会社
なんか怖くて出せないよ。コンプラや規則に厳しく社内競争が酷い会社で
ネットで勤めてる会社を出すバカがどこにいるのか。

信じる阿呆も相当だな。

入社早々、「うちってブラック企業だよ。まじやめたほうがいいよ」といって
やめさせようとするのを真に受けるタイプか?

86 :
>>68 集団ストーカーだとかモビングだとか知らんが、そう思っているうちは華。
ガチで重度の統合失調症にさせられるからな。

漏れは仕組みがわかってるからあまり気にならないが、実際に事故(自動車で故意にはねられる)
も起こされるからムカツク。

87 :
創価学会員は集団ストーカー被害者同士だからな。まあ小さいころから頭に電波を
受けて幻聴、幻覚を見せ続けられてから耐性がある人が多い。

しかも仲間と一緒にいるときはその幻聴、幻覚が気にならないからな。
彼らはただ遊んでいるだけ。

ただ電磁波制御によって思いつきで話したことが、たまたま傍にいる集団ストーカー
とやらの被害者にとってタイムリーなことのため、被害妄想の強い人が
学会員が自分のことを知っててイヤガラセをしていると思っているだけ。

コレだけならいいが、好き嫌い、惚れた冷めたも多少なら制御できるから、
そういう意味での軽微な就職妨害なら可能だろう。

もっともFPGAの最先端の技術を身に付けている人間にとってはそんなものは
ノーダメージだろうが。

88 :
高校のころ学会員教師が、創価学会は嫌われ役なんだぞ、って力説してた。
まあ、日本に70年前侵略したかの世界征服を目指している戦勝国だな。
電信電話やらテレビジョンなんかも。

ソ連が崩壊した20年前なんかは一強世界で、日本は完全にかの国にキンタマを
握られて逃げ場がないような状態だったが、

いまは中国もあるし欧州も対抗勢力として連帯しはじめたからな。
こんなばかばかしいことをタブーにする必要もあるまい。

89 :
私は創○の人と仲良く遊んでいた時期もあったから、そこまで敵意はないが、
学会活動とやらで学校あるいは会社が終わったら、永延と同志と街中を散策し、

電磁波制御で思いついた会話をポンポンと話して楽しくやる・・という学会活動に
終始しなければならなく、

私の場合、見た目が弱そうなので、もし入ったら真っ先にブッテキに刺し殺されるのは
わしだからなあ。。。

中学生のころソフトテニス部だったが、漏れは学会員でもないのに楽しくやってただけで
被害妄想感MAXのブッテキに逆恨みされて、いつもテニスラケットで殴りつけられそうな勢いだった。

そこは彼の空気を読んでうまくやってたけど、学会の場合、それを遂行することが任務だからな。
カワイソウだからお話をやめてやろう、とかそういうのはだめ。

90 :
まあ、ある属性の人が有利なのは事実だろう。ただ、職業的には営業向きだろうな。
技術系なら学会活動でコードを書く時間がとられそう。

コード書くときって、ある時期集中して10時間だとか20時間ぶっとおしで
やらんと身に付かんことってあるじゃん。

学会に入るとそういうのできないらしいんだよね。

91 :
ちょっと書きすぎた・・・。

本当のことを書くのが一番まずいから、あしたは厄日だな。。
被害者にはなっても加害者(自動車で人をはねてしまう等)にならんように気をつけよう!

92 :
漏れは入ったことが無いから知らんが、集団ストーカー以前、つまり幻聴幻覚を
見せられる過去のいろんな人間を観察した総合的な判断によると、

だいたい後から入った人間は「盾(嫌われ役)」のために髪をそめて、わざと
いぎがって矛先が向くように演じているよな。

93 :
>>68 実際、本当にイヤガラセを受けたほうがマシだよな。法的手段に出るなり、反撃
するなりできるだろうし。すべて妄想だからなあ。

もっともターゲットはそういう妄想を見ていることを知っているある属性のなかの
一部の人間は、足元をみてやる場合があるがな。

現実と仮想の区別がつきにくいから無視するしかない。

ただマイクロ波という最強の統制ツールがあるのだから、それだけで人間を
潰すのが理想であり、実際に要らん事(後発組学会員の煽り運転)をしたりすると

露見や組織風評の観点からマイナスなので、活動がやや乱暴、あるいは粗雑になると
ブツバチが与えられるんやで。

学会員に不幸が多いというのは、そういうことなんやで。

94 :
あんまり我慢するとストレスが溜まって、変なところでにじみ出るからな。
発散は大事だ。

こないだ自動車でアクセルペダルを踏み込んで驚かせようとした爺がいたから、
ハラがたって追いかけていって、かさで自動車を何回も殴りつけたが、逃げていった。

バールで殴ったらこっちが不利だが、歩行者VS自動車ということで法的優先度を考えたら
向こうが不利なので、かさで自動車を殴りつけるぐらいは正当防衛だろうと思って
ストレス発散のために故意にやった。

95 :
キュウソネコを噛むじゃないが、統合失調症患者が強制入院させられるのは
「防衛本能」に依存しているからな。

闘争本能をしっかりも持てば、法的優先度を考えて発散もしつつ冷静な判断ができるだろう。

96 :
法的に不利な自動車を運転するときは菩薩の心で。

歩行者のときは自動車に対しては法的に最強なので、バールで自動車を殴りつけて
器物損壊のリスクを負うなどの異常なことをしない限りは、多少やり返しても問題ない。


by 故意に自動車にはねられた者

97 :
ただ警察がいるときは注意な。彼らは連帯して適当なでっちあげで冤罪を作るのが得意だから。

ヘッドライトでイヤガラセをしておいて、こっちが言い返しに自動車の窓をバンバンと叩いて
「永延と私の部屋にヘッドライトを照射してなにしてんですか!」

といったら、なぜか爺が逆切れ。
「おまえ、いま車の窓ガラスを破壊しようとしたやろ!」と何故か警察を呼ぶ。
始末書まで書かれた。

いきさつを説明したが、警察は全く無視して、「いいから始末書をかきなさい」の一点張り。

こちらが感情的になっていたから爺を呼び止めるために窓を強めに叩いたのは事実だから、それを
正直にいったら、

「相手を怖がることをしない」などと意味不明なことをいう。

そのあと、「だったらあなたの部屋の前にあるお弁当屋さんは朝3時から騒音がひどいけど、そちらは気にならないの?」とニヤニヤ笑う。

「仕事をするために必要な音ですから。佐川急便の横付け駐車も仕事だから仕方ないでしょ」と私がいうと、
「そうなの」という。

98 :
・ヘッドライトでイヤガラセをされ文句を言ったら、なぜか器物損壊で警察に通報された事件
・ターボエンジンをつんだ車で猛スピードでこっちに突っ込んできて脅かしてきた事件。
・横断歩道を渡っているときに、停止していた車が突然こちらをにらみつけてアクセルペダルを踏んで、本当にはねられてしまった事件

1ヶ月でこのありさま。ほんと、高校時代に創○の友人がいなかったら、いまごろシナノ町に火をつけたいぐらいだな。

99 :
私にマイクロ波をあびせて重度の統合失調症にさせることで、
私が発狂して自然に精神病院に強制入院し潰れることを期待したようだが、

なかなか冷静に会社に行っていたから、今度は頭の弱い後発組に暴走させて
実際に私に威嚇、暴力、窃盗を繰り返して潰そうとするも、

それも私に効き目がないため、今度は「お前の幸せを本当に期待してるんだよ」
「修行だよ修行」「もっと良い企業があるから、そんな会社を辞めて・・」

などと意味不明な折伏が始まった。アメとムチを永延と繰り返して洗脳して
引き込むか、引き込まれないなら潰すのが、彼らの方針。

引き込まれたとしても前述にのべたとおり、「盾」として機能し、一切、
福運はもたらされない。

しかも、後発で入ったのち脱会した親戚は死んだよ。

100 :

は嘘でも妄想でも無いんでよろしく^^

こうなりたくないならターゲットになったら早めに精神科にいって自分が
うつ病または統合失調症であることを自覚したふりをすることをおぬぬめ。

101 :
世間に県下を売っておいて、自分たちだけは穏やかな幸せを得ようなんて考えが
甘いんだよ。

アメリカ合衆国でさえそんなことできなかった。
農耕民族の日本人にそんなことができるわけがない。

102 :
煽り運転なんか日常茶飯事、というか毎日。

原チャリ乗ってたらボックスカーがスレスレでツケてくる。夜とかはワザと
ハイビームにするから、こちらの運転も危うくなる。
そのあと急に左折し、原付スレスレまで近づいてきてニヤニヤしながら去っていく。

ある程度は無視するが、あんまり酷い運転をされたときの予防線のために、

危険運転をするキチガイ乗用車がいたらその窓に火のついたタバコを投げ込めるように、
いつもタバコを咥えたまま運転している。

103 :
私なんかどんなに煽り運転されても、予防線のためにタバコを咥えているけど
常に菩薩の心で低速運転してるからな。

歩行者を見たら当り屋と思え、じゃないが歩行者が1人でもいたら30kmどころか
20kmにまで減速して運転している。

調子に乗って「調整している」と勘違いしている阿呆どもが、最近、
大津の事件をはじめとして、次々と人をはねころしてるよな。

まあ、座まあみろとしかいいようがない。

104 :
電波妄想が酷くて耐えられなくなって入った人間は基本的に幻聴に心をゆだねる。

この電磁波統制が「政府の意向だ」、集団ストーカーを「社会のごみ掃除だ」と
いわんばかりだ。

確かに広域指定暴力団や、その師弟、親戚は無差別にターゲットになり相当
潰されているが、これは正義じゃなく、米国の方針だからな。

アンダーグラウンドがあると統制しにくいからアンダーグラウンドを潰して、
表に見える世界だけにして統制したほうがやりやすい。

事象は複雑だ。電磁波に毒されると政府だとか宗教団体など単一の勢力が
この世をすべて支配していると妄信してしまう。

105 :
確かに洗脳は強力だよ!私も技術的なことに興味がない人間だったら、多分とっくに洗脳されてただろうな。
絶望感を与えたあとに、期待感を与える。コレの繰り返し。

電磁波による脳神経制御(人心操作、記憶操作)も確かに技術的に可能だから、
より洗脳を強固なものとする。

これに打ち克つには、圧倒的にやられっぱなしの中でも、少しでもいいから
社会貢献をするに限る。

私はフリーソフトを作って公開し、攻撃を緩めてもらおうという賎しい魂胆であるw

106 :
またヤベー奴が来てたのか

107 :
集団ストーキングと人事による嫌がらせは違うだろw

108 :
集団ストリーキングならみたいかも。

109 :
ガチな話
日本の経済が狂ってから
かつてのホワイト企業のブラック化が進んでいて問題視されててNHKでも報道されてたよ

真面目に氷河期組に対する扱いが酷いので心おだやかじゃ無い40代は多いと思う

110 :
芝にある●電気の本社タワービルは中が吹き抜けになってて
ときどき上から人が降ってくるって言ってたな中のひと

111 :
これなんかのコピペ?こえーんだけど

112 :
なんだ、ただのガチの話ではないか。

113 :
統合失調症はもうおしまいかな

114 :
統合失調症って本人は楽しいのかな

115 :
確かに集団ナンチャラ説はちょっと変

116 :
けど氷河期組に対する処遇があんまりだって言う事は事実じゃないかな?
特定企業の話ではなく一般論で

117 :
キチガイ君はFPGAが何か知っているかな

118 :
FPGAって「フィールドプログラマブルゲートアレイ」の略っしょ。

いまでこそFPGAは単独のASSPとして知名度高いけど、
昔はGA「ゲートアレイ」の一種という位置づけだったから、
ASIC屋にとっては自分のお庭みたいなもん。

なのでFPGAスレにはASIC屋が粘着するのはしゃーない。アキラメロン。

119 :
FPGAのGAに引き寄せられたASIC屋なんて本当に来てるのか?

元々Alteraから入門したクチで、当時はAlteraでの呼称もCPLDだったりで
ゲートアレイの仲間とか思ったことは一度も無いわ。

120 :
ならば今、認識を改めるのだ…
悔い改めよ…

121 :
FPGAのGAはGenetic AlgorithmのGAである。

122 :
ASIC屋からすると
FPGAって論理の仮組み検証用のデバイスだろって認識

ガチで

FPGAで仮組み検証用終わったらASICに焼き直して本番だろ JK

123 :
ASIC屋ならアリモノのFPGAボードのIP書き換えくらいなら出来るよな。
ヤツらボード設計やIO、PLLなんかはいじれないけど。

124 :
CPLDでもGAでもない
ROMだ

125 :
ペーターピーターピョートル
シーメンスジーメンス
ジャーマンゲルマンドイツドイチュ

126 :
>>124
FF 使わないならね。

127 :
良く分んないけどGALじゃないの?

128 :
FPGAってBGAばっかりだな。数千ゲート程度でもいいから足つきFPGA出てくれんかな。

129 :
FPGA、統合開発環境が重くなる一方だな。。

2000年代終盤に初めてダウソしたときは3,4GB程度で、それでも吹いたが
今や20GB。サイズだけでなく常駐メモリも大きすぎて Core 2パソでは動かぬ

130 :
>>128
その程度で良ければ
QFP版の奴がまだ色々買えるやんけ

131 :
>>122 ASICは大資本がありきだからな。

132 :
>>131 ASIC屋は社会的には恵まれた地位だよな。ただ、往々にして回路設計そのもの
より上司への承認印を取り付けるなど、間接作業が殆どの会社なんだろうけど。

そういうことをしている間に長野県などの田舎の小さいベンチャーはしこしこと
HDLを書いていると思えば、どちらが技術力が高いかはさもありなん。

133 :
>>130 いやQFPの半田付けもめんどくさい。ちょっとしたPID演算を並列でしたいとき
足つきマイコン(PIC)では処理的に複数演算など無理だけど、数千ゲートのFPGA(CPLD?)
なら可能だろうし、オモチャを作るのにはちょうどよさそうなんだが・・

134 :
スレチだが、長野県ってハイテク中小零細が多いけどなんで。おいらの田舎は牛や豚しか
いないのと大違いだ。夜行列車で秋月電子通商に遊びに行ける距離だからかなワラ

135 :
>>122
FPGA での試作は、ドライバとかのソフトの評価に使うような・・・
遅延とか再現できないから、チップの評価はシミュレーションじゃないの?

136 :
>>135

半分あたりで、半分外れ。
タイミングは再現しない。論理のみの再現で、早い段階で仮組セットを立ち上げるのに使うんだよ。

で、FPGA専門でやってるやつらには俺は勝てないけど、
うちのプロトタイプFPGA部門だと、サクッとchip立ち上げてるしな。一瞬で。

ただ、仕様は顧客から舞い込んできたものをまんま使ってるので、
セットへの提案なんてものは、長野のベンチャーとかのほうがノウハウありそう。

あとASIC屋の場合だと、手配とか、気配りとか、先回り予測とか、仕事の段取りとか、
HDLプログラミング以外の部分の作業が大多数なので、HDL書くつもりでASIC屋に来ると
ガッカリだと思う。

もっとも、ASIC屋は、そういう段取り部分をやりたいと思う奴を採用するわけだが。

でも今年の新人は、すごいヤンチャな奴が多くて、勤務先方針転換したの???って思ってる自分がいる。

137 :
>>133
いやマイコンで十分だわ

138 :
ASIC屋でリストラされてFPGA出来ない奴が統合失調症だということはわかた

139 :
統合失調症はFPGA設計技術者を上位設計にさせてASIC屋として下請けとして利益を貪りたいだけということはわかた

140 :
>>134
精巧エプソン系列かな

141 :
>>134
長野県のメリット
https://vod.ouj.ac.jp/view/ouj/#/navi/player?co=8670&ct=V&ca=994

142 :
>>131
ファブレスなんてベンチャーが大半だろ。

143 :
統合失調症はFPGA設計技術者を上位設計にさせてASIC屋として下請けとして利益を貪りたいだけ
結果FPGA屋の下請けとして低賃金で生活すつ未来が見えた

144 :
長野のベンチャーってどこだよ

145 :
【即時】金券五百円分とすかいらーく券を即ゲット   
https://pbs.twimg.com/media/D9F0yKUU4AAaKOj.jpg 
    
[一] スマホでたいむばんくを入手 iOS https://t.co/Aps5sWrmLq Android https://t.co/pIvIUUWVjX    
[二] 会員登録を済ませる
[三] マイページへ移動する 
[四] 招侍コード → 入力する [Rirz Tu](空白抜き)  
    
今なら更に16日23:59までの登録で倍額の600円を入手可 
クオカードとすかいらーく券を両方ゲットしてもおつりが来ます  
       
 数分の作業で出来ますのでお試し下さい。      👀
Rock54: Caution(BBR-MD5:b73a9cd27f0065c395082e3925dacf01)


146 :
fpga使ったことない初心者の質問なんだけど
C言語だけで書ける?C++必須?

147 :
基本VHDLかverilog

148 :
>>145
QUOとすかいらーくだけもらうわ 

149 :
Cでfpgaなんとかしようなんて幻想だよ
実験ならともかく

150 :
>>149 それはコンパイラの問題だからCだから不可能、ということは無いと思うが、
既にHDLがあるのにわざわざ System C が充実することはないだろうね。

151 :
fpgaはいつかPCに標準搭載されるような、今でいうGPUみたいなものになるんだろうか?

152 :
>>150
ツール屋がやることなくてCを流行らせようとして失敗したイメージ。

153 :
>>151
ならんだろ。NPU (Neural Processing Unit) が 搭載されるだろう。
高集積度の製造装置が、段々と位落ちして ローエンドになってくる。
MCU 用途には無駄に集積度が高くなるから、そこで FPGA 化という気がする。

154 :
>>146
基本はVerilog。でも文法は簡単だよ。
論理回路とフリップフロップが分かれば書ける。後はそれの組み合わせ。
で、FPGAで何したいの?

155 :
>>154
日本のクソ半導体をぶっ潰したい

156 :
もうとっくに逝ってる

157 :
>>155
H8 でも踏んづけてろ。

158 :
>>155

結構マジでそう思ってるやつも多いと思う。
俺なんかは入社直後は若気の至りで
「俺が日本の半導体を変えてやる!」ぐらいの意気込みだったけど、
育って中堅になってみたら、デザインチェンジする程度の
現場の技術者がせいぜいだったでござる。

159 :
まぁその程度でも普通にVeriogでデザインウイン実績はあるんだがな(*´Д`)

160 :
たふの記事をみるとホッコリする。殺伐とした世の中の数少ない癒しだ。
おれって変人かな?

161 :
>>151
サイプレスのスタンスみたいなのが好き。あれでもう少しFPGA部分がちゃんとしていると
いいなと思う。
希望としてはTIの省電力MSP430+MAX10みたいな石があったらなと思う。

162 :
半導体も論理回路も何も知らんでも上級公務員になれば変えられるでござる

163 :
>>162

それは粗塩って会社の事かい?

164 :
https://www.sankei.com/politics/photos/190618/plt1906180037-p1.html
死体
今年中だな

165 :
>>158
おまえが頑張らなかったせいで日本が終わった

166 :
半導体ごときで日本が終わるかよ('A`)

167 :
他人任せにしたらあかん

168 :
もうおわってる
とっくに死に体
緩慢な死へ一直線

169 :
>>165

そんなわきゃなくて、俺が入社した時には上から下まで腐ってたからな。手遅れ。

だけど、俺のやさぐれようをしって、そういうこと言う勤務先の連中が言うとすれば
俺はこう言い返すな。

「入社して早々、やれ辞めちまえだの、派閥争いの道具にしてやるだの。
 その挙句に、大事な人を奪うだのしやがって、詫びはねーのか。
 せめてもの償いで、いまからでも遅くないから嫁さんよこせ。」って感じかな。

ほんと。狂ってる。

170 :
>>169
ルネサスさんは、有坂さんに年収2千万円の仕事と、嫁つき一戸建てを用意して、そういう無能をリストラすべきだと思いませんか?

171 :
>大事な人を奪うだのしやがって
何それ。誘拐だったら警察。大切な人が他の人にのりかえたのなら…

172 :
その時は、大切な人の今後の幸せを祈ってあげよう(ニコッ

173 :
>>172
それは無論そうなんだが、こっちは独りで寂しい月日がこれで19年目www
流石に人生嫌になってきてる・・・ orz......

174 :
FPGAと一緒にいれば寂しくないだろ?

175 :
>>173
来世で幸せになってください

176 :
>>166
終わるわ。
日本は外貨を稼げるのは電気と機械しかなかった
そのうち電気が大崩れでいま機械一本足だから日本の貿易収支みてみな。
今や中韓どころかロシアにも抜かれてる

177 :
>>166
https://www.globalnote.jp/post-3277.html
コレ見てみろ
いくら経常収支で評価するべく構造変化してるといっても
驚きの190位

アメリカとかイギリス、フランスはさらにその下だけど、
ここらはもうモノ作れない国だから。
20世紀末でも半導体が破綻してないころはまだトップ付近で存在感を示してた

178 :
仮にそうだとしても、1回終わってみてほしい感ある
終わるものなら

179 :
https://pc.watch.impress.co.jp/docs/news/1191838.html

90年代半ばだったかな?
メモリの急激な価格下落で、小さいメーカーとかPCショップがバタバタ倒産したことあったけど、
また再発するかもね。
たしかにSSDもSDカードもびっくりするぐらい下落してる

180 :
また安くなってほしいよね

181 :
安い技術を安く買える
とてもいいことだよ

182 :
>>176
別に終わってもかまわないじゃない。君らが築いてきたものじゃないんだから。

183 :
>>182
はぁ?俺らが築いてきたものだ

184 :
ほんまに?

185 :
「俺らが築いてきたもの」がレベル低いから日本終わったというのが>>158>>165の話の流れですよね

終わればいいじゃん
守る価値無し

186 :
>>185
ワロス

187 :
氷河期組の俺が入社した時から組織は腐敗しきってた

188 :
>>187
どこに入社したんだよw

189 :
税金ジャブジャブ使って終わらせるのが問題
どうせ終わるなら一切税金投入せずにさっさと終わらせた方が良い

190 :
>>189
ソシオひどいよね
税金ジャブジャブ使って延命してるよ

191 :
素子夫って、上手くいくかと思いきや、あの体たらくだもんなぁ。

192 :
>>185
俺らが作り上げたハイレベルなものを
お前らゆとり世代が壊したといっているのだよ知的障害くん

193 :
>>192
それで日本が終わったというだけの話だろ?
まだ何か続きやりたいの?

194 :
>>192
後進をきちんと育成しなかったのなら、そりゃ当然日本終わるわ

195 :
>>192
ゆとり世代は、バブル世代の奴らがめちゃくちゃにしたと思ってる。
築き上げたのは、実はその前の団塊の世代だろ。

196 :
お前ら目くそ鼻くそ

バブルも氷河期もゆとりもクソ

197 :
数少ない氷河期組の輩だが
普通に考えて団塊やバブルがぐちゃぐちゃにした感じがある

氷河期組は厳選されているので
正論をズバッと言いすぎて
バブルからハブられる

氷河期で出世してるのは大人しい目の烏合の集

ゆとりに至っては余りにアレなので問われない

198 :
そらちがうわエンジニアってことではバブルまでだ
氷河期やらゆとりやらレベルが低すぎてまったくだめ
ゆとり以前に氷河期あたりのやつから大学で補修授業が始まった
つまり今の50未満は糞ってこった
こいつらが今の惨状をこしらえた

199 :
>>197
>氷河期組は厳選されているので

ゲラゲラ
ギャグか?ゴミ選別したところでゴミ
ゴミ厳選して金貸し付けたらリーマンショック起こっただろがwww

200 :
(あ、こいつダメだ)

201 :
(察し)

202 :
これ何の精神病患者?

203 :
単に頭が弱いだけ

204 :
くるくるパー登場

205 :
上の基地外5080問題の当事者かもね

俺らの実感としては団塊やバブルの取締役や役員の読みと筋書きがからっきし外れたのが原因に思うので

氷河期やゆとりは被害者

けど最初からそう言う場末は選ばないと言う選択もあったはずなので
自己責任とか言って団塊やバブルは責任転嫁するんだろうけど

マジで俺らは迷惑してるのでなんとかしろよ
団塊やバブル!能力高くて実力あるんだろう?

206 :
主語が大きいっていつも言われない?

207 :
結構マジに思うね。

いま権限握ってる連中!しっかりしろやごらぁ!って。

特にパラノイア気味の団塊とバブル連中。
お前らが舵握ってる以上は、その通りに運航するんだから
きっちりやりやがれダ。

208 :
https://www.nikkei.com/article/DGXMZO46537460V20C19A6I00000/

ちなみにこれは今日のニュース

209 :
FPGAをバカにしていたルネサスの連中は放置すべき

210 :
ルネサスの連中はFPGAには一見の価値アリと見てきた人たちだよ
実際FPGAアーキありきのIPも発表してきたし

偉そうなことを言いながら酸っぱい葡萄としてFPGAを見てるのは阻止夫

211 :
流ね刺すも阻止夫もクソ

みんな壊れてしまえ

実際現場の人間の精神はボロボロのガタガタ

212 :
よく知らんけど中の人たちも大変なんだね
その分、一体誰が得をしてるのやら

213 :
みんななんも考えてないからみんなが真剣にババ引いてるというのが最近の流行

214 :
>>212

社員の7割は具合悪くて薬のんでるんだぜ
普通じゃないだろw

215 :
そして、残りの3割はすべからく出世して、医者いらず

完全な格差社会です。

216 :
>>209
あいつらverilog書けないのがほとんど

217 :
>>200
>>201
>>202
>>203
>>204
>>205

あ、日本のエレクトロニクスを壊したゆとり登場
笑うわ大漁

218 :
自覚はしてたのか

219 :
>>216

それは最終成果物を何にするかによる

SOCならVerilogやVHDLがいいと思うけど
センサー用途アンプなら、PDKとSPICEゴリゴリでフルカスタムで作る技量も必要。

どっちかというと、いまのフロントエンド設計の連中が、バックエンド覚えることのほうがハードル高いんじゃん?

バックエンドの人はHDLはあっというまの瞬殺でしょう。俺もだけど。

220 :
バックエンドってレイアウト屋さんのこと?

221 :
>>217
ワロタ

222 :
>>220
FPGA業界では使わない、半導体業界の特有の言い回しだね

223 :
FPGA 業界ってのは xilinx とか Intel とか lattice なんかだよね?
FPGA ユーザーは FPGA 業界の人間じゃないよね。

224 :
PC自作とPC自作くらい違う

225 :
日本語でおk

226 :
>>223
広義では含めて良いと思う。

227 :
FPGAはデバイス単体じゃ何もできない
rtlデザイナーいてこそなんだから、ユーザーも業界に含めていいと思う
rtl書く人足りてないし

228 :
>>224
PC 使ってるからオレはPC業界の人間(キリッ

229 :
わかってるくせに茶化して話をかき混ぜる人って何なん? >>228とか。

230 :
本人は面白いと思ってるんだよ多分
個人的には嫌いじゃない

231 :
>>227
高位合成って知ってる?

232 :
知ってるけど何?

233 :
>>227
残業無し年収600万円以上の求人ドコですか

234 :
>>231
こういごうせいって使ったことある?業務で

235 :
おまいら

生活に困っても

パーソルテクノロジースタッフ だけはやめた方がいいぞ

236 :
>>234
おれはFPGAで光合成が出来るぞ

237 :
>>236
mjk

238 :
>>237
月給100万円出すなら10年ぐらいで開発シテヤッテモイイゾ

239 :
>>238
そんなカネはない

240 :
>>238
100万円/月
金ドブにする余裕なんてない

241 :
https://av.watch.impress.co.jp/docs/news/1192927.html
あれ?DPはHDMIに道を譲って、発展的解消とか言ってなかった?

242 :
モニタの電源切ると勝手に解像度変わるアホ仕様は治るのかな?

243 :
仕様なので直りません

244 :
FPGAで光合成プロジェクトは、日本にお金がないので失敗しました

245 :
>>233
腕に自信あるなら開業されては?
個人事業主だと難しいけど、2〜3人でも一応会社の体裁あれば、
人月70〜80でなら出したい仕事山ほどある
外注探すとどこも100〜120くらいからだから

246 :
>>245
派遣会社に連絡すればその値段だろ?
FPGA技術者に対する報酬が少なすぎるから

自分でやればw

247 :
100なんてソフト屋だろ。

248 :
>>246
派遣会社になんてアホ臭くて仕事出せないよ
誰がrtl書くかが重要なのに、頭数だけ揃えましたなんてとこに仕事出せるわけない
FPGAできる受託設計の会社たくさんあるんだから

249 :
>>248
金払い悪いなら自分でやれよバーカ

250 :
誰が書くかなんて関係ない。
ちゃんとしたものが出来るかどうかだ。
AIでもいいんだぜ。

251 :
丸投げは遺憾な
ちゃんと検収しないおまいが悪いとしか

252 :


253 :
AIって愛だよなw
俺は今からヲタアニメ映画観てくるw

254 :
青ブタだよーん

255 :
えっらそーに人間様から仕事奪うっていうなら
せめて言語翻訳ぐらいまっとうにやってもらわんとな
現状じゃとても使い物にならない
もう何年やってんだよ?

256 :
AIやその一歩前にRPAが人間から仕事奪うなんて
なんもわかってない経営層の幻想w

257 :
青ブタの視聴者層はやっぱ高校生主体
時々親に連れられた子供を見かける
その中に混じってる40JJIの俺w

ちょっと場違い感あるなw

258 :
青ブタまた水曜から始まるな
再放送何回目かな

259 :
青ブタってアニメ?
FPGAと何か関係あるの?
(ここで話すなとは言ってない)

260 :
ゆめみる少女ぢゃいらんない

261 :
エロマンガ先生がおすすめ

262 :
>>259

そう。アニメ。カドカワの高校生向け。
いま映画見て昼食食べて帰ってきた。

日常の不思議な出来事を物理論的な解釈で謎解きする主人公の友人が面白くて観てる。
あと主人公の男の子が人間的に優しくて、普段FPGAとHDLで論理ゴリゴリで考えてる人にとっては
異次元の癒しになる。(笑)

お前らも観やがれ。

263 :
ビーカーでコーヒー飲んでた?
本人も乖離しててSNSでエロ画貼ってて大変だったぬ

264 :
>>248
>派遣会社になんてアホ臭くて仕事出せないよ

派 遣 の 低 能 ド カ タ に な ん て ア ホ 臭 く て 金 出 せ な い よ

死 ね よ 派 遣 の ア ホ

正しく訂正しときました

265 :
転職して 月400万円になったんだけど

月100万円レベルのFPGA設計ってどんな簡単な仕事なの?

266 :
年収と間違えてるぞ

267 :
>>266
どんだけクソ仕事してんの?

268 :
>>266
だからヘッドハンティングだっての。下々の皆様

269 :
>>268
おれ月400万円で仕事してる

270 :
日本の半導体はなぜ沈んでしまったのか?
https://www.newsweekjapan.jp/stories/world/2018/12/post-11458.php

アメリカによって叩き潰された日の丸半導体
ご感想は?

一橋大学で分子間相互作用シミュレーションしてた?
どの学部なんだよ?

271 :
>>269
でも、
おれは400x12だけど
お前は400x1+0x11なんだろ?

272 :
人間の価値は稼いだカネの額で決まる

273 :
>>271
おまえ、あたまわるい人?

274 :
400×12もらってる人、少ないと思うよ…
匿名掲示板でマウンティングしてもねぇ。
https://i.imgur.com/W5W6nQc.jpg

275 :
俺の時給は6750円といわれた。給与だけ見えるとそんなに高くはないはずだが
もろもろ被って、そのぐらいの額に膨れ上がるんだと。。。。

ちなみに、雇用体系は正社員、給与は月給制です。

276 :
時給なのか人件費は別だけどどっちだろ?

時給としても税金でかなり持っていかれるからな・・・

277 :
正確には、人件費だと思う。

この前作業用マシンを購入するについて、
自作PCだと約3H分の工数を割けば、約1.8万円安く抑えられるけど
どーする?というのを課長と話して、

「お前さんの1時間の人件費は6750円だから、3時間確保すると
 +1.9万円だろ。だったらトントンだから、組み立ては業者にさせて
 おまえは普通に仕事しろ。」とか言うような話だった。

278 :
>>273
おまえ、知障確定

279 :
税金もってくのなら有効利用して欲しいわ

今はドブに棄ててばっかりやん

280 :
税金もってくってなんだ?旨い話か?

281 :
自分の懐から国庫にもってくって話だろ?
別に何もおかしくないが

282 :
>>275 人件費は純粋に考えて、給与+社会保障費

飲食店のバイトに、「お前が居るだけで電気代もかかるし、街中の家賃だってそうだ」
なんていったら、店主はキチガイと思われて、みんな逃げちゃうよ。。

283 :
>>275 純粋に考えれば、時給=(給与+社会保険)/総労働時間

ひいきめにみれば正社員はバイトよりも間接時間が多いことを考えても、

時給=(給与+社会保険)/(総労働時間×0.7)

だな。

284 :
>>277 キチガイは屁理屈だけは得意。給料に比べたらパソコン代なんて鼻くそだろ。

「おまえの使ってるシャープペンシルだって、事務員さんが事務ランドに買い行くために
人件費を使ってるんだぞ」とか言ってる様なもんだな。

「あ、シャーペンとかいいっす。なんならパソコンも自分のでいいっすよ。会社命令だから仕方なく会社のを
使ってるだけっすよ」

という話になる。

285 :
お客さんに出す料理に、大量に塩を入れて嫌がらせしてゲラゲラ笑っているやつが、
定時にあがって街中で「仕事とは」「男とは」とか騙ってる連中が多い世の中だ。

もう、どうでもいいよ。。。

286 :
前スレで暴れてた元ルネサスの人が「ある属性の人が」とかいってるけど、
こちとら、小さいころからやられてんだよ。

たまーに外食に行けば十中八九、飲食店でイヤガラセされる。だから、ある属性の
人間がいない飲食店だけ覚えておき、そこにしか行かない。新しい店には怖くていけない。

イヤガラセでもね、どんぶりをテーブルに叩き付けるとか、陰口を言うとか程度なら我慢するよ。。

あいつらお客に出す料理に塩を大量に振りかけたり、麺をグチャグチャにして出したりするんだよ。

タクシーに乗れば、1000円で到着する距離なのに「あ、私道分かりませんので・・」といって、
ぐるぐる故意に遠回りし、3千円以上請求される(時間も2倍以上かかる)。

そんなやつらが街中では偉そうに「仕事とは」「男とは」とか騙ってるの。

Rといいたい。

287 :
コンビニ弁当のほうが100倍おいしい。3千円も出して、糞不味いイヤガラセ料理食うぐらいなら。

あいついわく「ブッテキにしかしないよ」らしいが、年々ブッテキ増えてるからな。
そんなことやったら店潰れまっせ、といいたい。

288 :
飲食店の悪口はレビューしたことないがな。どうせそんな店潰れるし、
潰れる店のために自分の福運を費やしたくない。

ただこないだは余りにも食えたシロモノじゃなかったから、その場で
「おまえ味見したんか?!」と怒号を散らかしてしまった・・

289 :
「ブッテキがこなくても仲間がくれば商売なりたつから」と鼻息が荒いが、
県部長でもなんでもないのに、わざわざ不味い飲食店に頻繁に通う馬鹿はいない。

みんな少ない給料のなか、たまに楽しみで外食してんだ。うまいもんくいたいさ。


まあこれは飲食店の例だが、すべての業界にわたってこういう風潮。

290 :
皮肉なことに、創価系の飲食店のほうがよっぽどうまい。。。
新興勢力(後から創価に入ったと思っている連中)の飲食店は糞しかない。

291 :
漏れは創価にやられているブッテキだが、養老のタキ、ドトールコーヒーにはよく行く。
不愉快な思いをさせられたことがない。

新興勢力が、忠誠心を見せるために、わざわざバイト先でバイトテロを起こしたがる。

292 :
学生時代から、新興勢力から何度も勧誘されきたが、すべて断ってきた。

言い返せない相手や弱者にだけ、よってたかっていじめて、まあイジメだけなら別にいいが、
バイト先でも、お客さんの料理に塩を入れたりせにゃならんグループなら、たまらんで。

293 :
安倍も新興勢力だな。アメリカの人工知能が教祖様である新興宗教だ。
パフォーマンスで神社本庁とかに寄り添っているが、魂はアメリカに抜かれている。

やっぱり岸の孫だ。絶対に信用してはならないと最初から思っていた。

294 :
ブッテキだが、今度は公明党に入れるよ。野党は政党の体をなしてないから投票しても泡沫だから、公明党に入れます。。

半導体素材原料の禁輸措置は確かに「パフォーマンス」とはいえ、キチガイとしか思えない。

295 :
といっても連立だからなあ。どうしたものか。

296 :
太平洋戦争後、アメリカに魂を売った政治家たちは内容の如何に拘わらず釈放され、
残虐を禁じていたのにも拘わらず祖国に忠誠をつくした政治家たちは、A・B級戦犯でほとんど処刑になった。

中学生のころ、長崎県出身の女性教師が、「原子爆弾投下は日本の自業自得」と放言した。
私はそれに反論するようなことを作文に書いたら、それ以降、その女性教師には親の敵かのように憎まれ、

試験で80点をとっても通知表は1、授業中に居眠りをしていたら「このシャブ中野郎!」と顔を真っ赤にして
胸ぐらを掴みにかかるなど尋常ではなかった。

広島、長崎はアメリカによって大量殺戮された地であり、順当にいけばアメリカへの怨念が募っている地である。
そういう怨念が強い地域にこそアメリカは早く手をつけて、プレッシャーをかけたんだろう。

孫子はアメリカに寝返った人間が多いわけだ。。。

297 :
結局、その女性教師は別の学校にわずか2年で転出となった。

転出後、ある先生が「あん人は日教組だけんねー」

といって、私のほうをみた。

298 :
敵国内にひそむ誇大妄想を持つ凶暴な組織に対して、見えないように間接的に援助して
内乱を起こさせるというのは、典型的なアメリカのやりかたである。

たとえばオウム真理教もその一つだと指摘されている。

ただしオウムの場合はアメリカが嫌いで、ロシアとつながろうとし、さらに教団の暴走で
本当に首都圏でテロを起こしてしまったから消滅してしまった。

あれが首都圏ではなく地方だったら行政はしばらくは野放しにし、かなりの犠牲者が
出ていただろう。

アサハラショウコウは死刑になったが、アサハラショウコウはもともとイグサ農家の六男で、
少し負けずぎらいな、ただの半盲の青年だったという。

浅知恵だけは働き薬事法違反などを犯しているので、まともな人間ではないだろうが、
テロを起こすような仰々しい発想を持つ青年ではなかったと

地元の人間が証言している。

教団が巨大化していく過程で、外部からアサハラを傀儡として教団を支配しようとする
人間が多数送り込まれたとも指摘されている。

結局、オウム真理教は大量の犠牲者を出しながらも、本当のところは明らかにされないまま闇に葬られた。

299 :
私は陰謀論が嫌いだが、なまじ生々しい実体験があるから、それらを否定できないんだよなああ。。。

中学生のころ長崎県出身の女性教師が「原子爆弾は自業自得」と放言し、

私はそれを否定し、さらに「日本の宗教は天皇陛下を頂点とする神道のみである」などと作文で
主張したときの、女性教師の気が狂ったような表情。


あれは今でも忘れられない。

300 :
高校生のころ、私がこういうような作文を書いていたから、担任から「おまえは絶対に就職不可能!」といわれていたものだ。

「私は社会主義でも共産主義でもないし、日本の国教は天皇陛下を中心とする神道であり、

アメリカは日本を仮想敵国として戦後70年以上にわたり間接支配して、世界中で戦争を作っている
ろくでもない国であるということを主張しているだけなのに、

なんで非国民扱いされなければならないの?」

というような趣旨の小言を、仲のよかった創価学会員によくこぼしていたが、彼の顔はひきつっていた。

301 :
私がブッテキに指定された原因となった学校の作文におけるキーワード

「ユダヤ人が世界をかき乱している」
「天皇陛下は朝鮮半島から渡来した豪族由来の一族かもしれないが、長く続いているのだから、
形骸的にでも、信仰をしなければならない。」
「日本に原子爆弾を落としたアメリカを絶対に信用してはならない」
「創価学会は宗教ではない」
「今の若い人はそもそも喫煙しないのに、喫煙者を必要以上に叩く風潮に違和感をおぼえる」
「朝鮮半島はダメである。」
「日本の宗教は神道のみである。」


どうやら、アメリカ、創価、自民、韓国、喫煙(大麻)がらみのキーワードを出すと
ブッテキになるようです。

(もちろん共産主義者などはいうまでもなく)

302 :
アメリカの属国になるための国粋主義になるぐらいなら、戦前の国粋主義のほうが、
国民のメンタリティの観点では、まだマシである。

「日本は近いうちに戦争が起きるのだから、自衛隊など無くしたほうがいい。」と発言したところ、

「自衛隊を馬鹿にしやがって!」と激怒した人がいたが、

そういう人はなぜ自衛隊に入隊しないのだろう。

303 :
自衛隊age する人は自分が自衛隊に入ればいいだろう?
ものづくり age する人は自分が技術者になればいいだろう?

自衛隊っていつかは軍隊になり、兵隊さんは死んでいくんだよ。

今の日本人がそんな辛い思いに耐えられるとは思えないから、自衛隊なんて無くしたほうがいい
と思うのは、いたって普通の感性だと思うが?

そもそも戦争放棄してるしな。

304 :
実際のところ、21世紀の戦争では地上戦は成り立たない。

自衛隊が敵国と直接戦うという意味での軍隊になることはないだろう。
正しい方向に向かえば諜報戦のスペシャリスト集団。

間違った方向に向かえば、国民を締め付けるための「警察予備隊」になるだけだ。

305 :
満足して寝たか

306 :
中華製FPGAを使ったことある人いる?
遊んでみたいんだけど、どこにもチップが売ってないんだよね
anlogicとgowinってメーカーのやつなんだけど

307 :
>>306
https://www.banggood.com/search/licheepi.html

Lichee Tang じゃダメなの?

308 :
ボードならアマゾンにあるみたいだけど
Sipeed TANG PriMER FPGA 開発ボード

なぜかurlがNGワードになってしまって検索したら
AMAZ○NてNGワードになったんだ

https://www.amaz○n.co.jp/dp/B07TC53HLP
○をoに書き換えて

309 :
>>306
そのチップ
QuartusかVIVADOで使えるんだろ?www
フィッタでまんま使えるようにしたら流石ってことになるんだけどな

310 :
>>308
>https://www.amaz○n.co.jp/dp/B07TC53HLP
>○をoに書き換えて

どーゆー理由で直リンじゃなく
こんなじゃーくさいことすんのさ?

311 :
>>309
いや、独自のツールみたいよ。ツールのサイズが小さいから IP はほぼないんじゃないか。
あと、論理合成は Synplify とか買ってバンドルすればすむ話。どうしてるかは知らんが。

312 :
https://japan.xilinx.com/video/corporate/megvii-intelligent-facial-recognition.html
中国の顔認証機器はXC7Z030で作られてる
Megvii社は3人で2011年に起業して、8年で1000億円企業になった
今や中国全土の空港やパトカーに設置
月収400万なんて鼻糞レベル
おまいらもうちょっとがんばれや

313 :
>>310
2chの本文に「Amazon」と書こうとするとNGワードになる AmazonのURLも貼れない
https://rosie.2ch.sc/test/read.cgi/operatex/1557911425/l50

314 :
あっ、書けるようになってる

315 :
>>311
それじゃーまだまだシナも度胸据わってねーな
寺かXiの100%互換チップ作って人のツールで相撲をとれるようにすれば、
めんどくさいソフトウェア、ツール開発せずに金儲けできるのにwwww
やったらアメリカ劇オコかな?

316 :
中国製のもつといいツールを作って欲しい。
メニューは中国語でいいから。

317 :
>>315
xilinxとかIntelのIDがないICには書き込めない制限掛けるだけでしょ
IDの偽造は技術的に余裕だけどアメリカと戦争したくないなら
やるわけにはいかない

318 :
IDは偽造するんじゃなく、IDチェックするツールのルーチンを無効にするクラッカー配布した方が簡単じゃね

319 :
>>318
いずれにしろ滅亡したくなければおとなしくせざるを得ない

320 :
キオクシア
ってだっさい名前だな

321 :
ルネやソシオのほうがネーミングセンスはあると思う
まぁ命名は外注に出してるらしいから、どんだけの実績のある業者にいくら積んだかの問題だと思われ

けど、商売じゃ断然キオクシアのほうが勝ってる。

322 :
人によるだろうけど、個人的にはネーミングセンスはキオクシア>ソシオネクスト

323 :
スパルタンって品薄?

324 :
2か3か6か7か
どれよ?

325 :
新しいやつの低位グレードはあんま見ないよね
古いので事足りることも多いし

326 :
>>324
7

327 :
>>326
octopartで7S6,7S15,7S25,7S50,7S75,7S100と調べてみたけど、7S50が少し在庫少なめなだけで、品薄感はないね
毎月100個とか買うなら、ここでなく代理店に聞けばいい

328 :
1ヶ月以上前の話題に今更乗っかるのもなんだけど、メンターからこんなメール来た

A team at Konica Minoltaョ has been using the
Catapultョ HLS Platform for many years to dramatically
improve their productivity by coding at the C++ level and
using the platform to generate RTL. They recently evaluated
the high-level verification tools in the platform and they
believe that they have proven that C++ level signoff is
possible.

懐かしいな、Catapult Sysnthesis、まだやってたんだ。

329 :
発信者の許可なくメールを公開するのはプライバシー権の侵害云々

330 :
登録ユーザーに送ってくるプロモーションのメールだしねぇ、むしろ広めてもらいたいでしょ。

そういえば昔は Handel-C の DK Design Suite なんてのもあったなぁ、今どうしてるんだろ?
って検索してみたら、「それも」メンターになってたよww
メンター好きだなこれ系w

遅レスついでに、
>>142
半導体に限って言えば、富士通はもうそろそろファブレス状態じゃないか?
ttps://eetimes.jp/ee/articles/1807/05/news035.html

331 :
富士通はなんかもうお悔やみ申し上げます

332 :
沖電気の時代か・・・

333 :
ラピスを労務に取られ
田貴からP板を奪った

334 :
1年〜5年以上FPGAを募集している企業の内情を教えて(派遣ではない)

335 :
多分転職回数1-3の30代をとろうとして
そんな奴はいない状況で永遠募集しているだけだと思っている
あとは面接をするとFPGAの技術を理解できない?

336 :
自動運転だなんだかんだでこれからFPGA触れる人は重宝されるとか聞いたんだがほんとか?

337 :
彼らにとってAIなら要件、仕様から丸投げしていいという理解なのだ。AIがすべてやってくれると。
重宝はされるがそんな案件受ける技術者は相当な馬鹿か詐欺師だろう。

338 :
いまだにAIがなんでもやってくれるとか思ってる
ゴミ企業に行こうなんて奴もなかなかいないだろう

339 :
>>337
なんという正論
ここ最近のAIに関する違和感にスッキリ答えてくれたわ

340 :
新しいものがなんにでも使えるかもしれない、という意気込み・思い込みのリーダーが
いるところがいろいろなものにトライして、本当に使えるところを開拓したり深化させたり、
基本技術を押さえていくんだよな。

たいていの成功は犠牲をともなって、死屍累々なんだけど。

341 :
自動車業界はFPGAの求人それなりにあると思う

342 :
車載でFPGA使うかな?使ったとしても、制御系じゃなくて、IT連携の部分でしょ?

SRAMでLogic記憶くしてるFPGAだとソフトエラーが怖くてFPGAの多重化でもしない限りは
怖くて車載には使えんよ。

343 :
>>330

富士通ファブレスに今頃気が付くとか遅すぎ

でも大丈夫。おっきいサーバー系の設計/インプリはF本体がやってる。
工場だけアウトソース。どこかは言わない。

344 :
>>342
でもマイコン使ってるよ?レジスタもキャッシュもSRAM

345 :
QuickLogic 最強?

346 :
>>344

それはマイコンの内部解析したら、
・SRAMの面積が小さめ
・各処理の多重化
・誤り符号訂正
とかでソフトエラー対策してあるんだよ。

プレーンのFPGAとマイコンを同じ土俵で比べたらいかん。

347 :
>>346
FPGAこそ、SRAMのうち実害のあるエッセンシャルビットは極々一部。

348 :
>>347

ほんとうにFPGAの事わかってる発言じゃないよね。

FPGAの場合はFF-FF間のLUTに使われている論理テーブルの
SRAMの1bitがソフトエラーでヤラレタダケデエラーになるのに。

349 :
爆弾出るんですね。

350 :
>>348
本当にそうなのか? SRAM じゃなくて FF じゃないの?しかも超低密度とか言ってるメーカもあるぞ。

351 :
>>348
で、それはマイコンのSRAMとどっちが致命的なの?

352 :
確かECUでもFPGAは使われてたと思う。
具体的にどれくらい使われてるかまではわからんけど。

353 :
おまいら 意外と中身知らなくて使ってんだな

354 :
ヤラレタダケデエラーってのに出くわしたことないな。

355 :
>>353
確率の問題なのに1ビットでエラーになると騒いでるお前の方が頭悪いぞ。

356 :
AIのために車載でGPU載せようとかメーカーが本気で取り組んでて、NVIDIAが車載向けGPUを出してる時代だぞ

357 :
つまり?

358 :
最近の車はいらん機能が多すぎ。そんなに安全確認や運転が面倒ならタクシー乗れよ。

359 :
いつでも呼び出せて、すぐ来てくれるならね

360 :
お前ら多分論理設計しかした事無いんで
FPGAの構造とマイコンやGPUの構造の違いや
対ソフトエラー対策でどう言う回路的な仕掛けを入れるとかって
わかってないんだな

361 :
分かってないんだよ。詳しく説明してくれ。

362 :
>>359
究極の自動運転はそれだろ。

363 :
>>362
タクシーは配車を断られる時間があるし、天気が悪かったりして需要が増えるとなかなか来ない
それがクリアされるなら自動運転じゃなくてタクシーでいいよ

364 :
自動運転での配車だと
需要が薄い時間帯、地域はAIによって容赦なく切り捨てられる悪寒!

365 :
インテルもザイリンクスもFPGAを使ったADASのソリューションは展開してたはず。どれぐらい採用されてるか知らんけど。

366 :
>>363
自動運転タクシーだよ。
自宅にクルマとか邪魔でしかない。

367 :
いつでも呼出せてすぐ来てくれるレベルだと、レベル4以上か
https://blogs.itmedia.co.jp/business20/bb8fd0f8f40f464e18cca34457d09277b7798196.png

368 :
あ、ごめん。レベル5(完全自動運転)だね。
いつぐらいに実現するんだろ。

369 :
線路引けばすぐ出来るのにね。

370 :
何日か前にフランスで話題になってた
載るだけでどこでも行ける台が欲しい
ドーバー海峡かどっかで不時着というか着水しちゃったみたいだけど

371 :
これです
https://www.youtube.com/watch?v=eDtIulpIvdQ

372 :
>>366
タクシーなら運転手がいてもいなくてもいいだろ

373 :
>>372
うん。だから自動運転タクシーだろ?
何が言いたいの?

374 :
>>373
>358 名前:774ワット発電中さん[sage] 投稿日:2019/08/01(木) 09:43:18.72 ID:t1P5Mlj7 [1/2]
>最近の車はいらん機能が多すぎ。そんなに安全確認や運転が面倒ならタクシー乗れよ。
楽をしたいならタクシーを使えということから話が始まってる
つまり、自部の所有する自動運転車で楽をするくらいならタクシーを使えということ
お前が言ってるのは自動運転で動くタクシーを使うということ
バカならしょうがないが、どうせ話を引っ掻き回そうとしてバカのふりをしてるんだろ?

375 :
 米ザイリンクス(Xilinx)は、同社の車載FPGA事業の最新状況について「オートモーティブ ワールド2019」(2019年1月16〜18日、東京ビッグサイト)で説明した。
すでに採用実績は多く、2014年には14社(29車種)が採用したが、2018年には29社(111車種)に拡大した。
最近では、人工知能(AI)を使ったドイツ・ダイムラー(Daimler)のインフォテインメントシステム「MBUX(Mercedes-Benz User Experience)」や、
ドイツZFの車載AIコンピューター「ProAI」で採用が決まったという。

https://tech.nikkeibp.co.jp/atcl/nxt/column/18/00001/01589/

376 :
クルマなら消費電力気にしないしコスト見合えばFPGAでいいかもな。
ISO262626とか大丈夫なん?

377 :
>>376
FPGAがどういう構造で出来ているか理解できていないようだな

378 :
>>377
理解できてません。どういう構造なんですか?

379 :
>>378
ルネサスの奴には教えてやらん。自分で調べろ。クスクス

380 :
技術板でリアル知ったかはめずらしいな。自分で言い出しといて何も答えないとは。

381 :
FPGAで試作するだろ
あるいは小ロットの場合はFPGAを使う
量産段階になるとGAかフルカスタムで原価下げでFPGAはお役御免
自動運転必要なデータ収集と新しい学習データを反映しての開発段階ではFPGA大活躍
FPGAの構造と価格を知りたいなら各メーカの資料で基礎から勉強して
その前にゲートアレイの構造と製造法見た方がいいか

382 :
>>381
そうして、ルネサスは常に3年前の自動運転を供給して市場撤退 FPGA売り上げゲットウマー

383 :
>>381
「FPGAはソフトエラーに弱い構造である」という話ではなかったか?
そんな資料見たところで分からんぞ。

384 :
>>383
ルネサスはFPGA使いこなせないだろw

385 :
最近は試作でFPGA、量産もそのままFPGAってケースもあるらしいがね。

386 :
>>385
会話するたびにルネサスの世間知らずがにじみ出てて草生えまくり

387 :
運転支援システムの開発、ASICにする? FPGAにする?
https://monoist.atmarkit.co.jp/mn/spv/1512/15/news034.html

>ザイリンクスはホンダ、Ford Motor(フォード)、Audi(アウディ)、マツダなど日米欧の自動車メーカーでFPGAの採用を増やしている。

>ASICやASSP、マイコンではダメなのか
>14/16nm世代を使うと開発費の10倍の売り上げが必要になる程、投資回収が難しい

388 :
仕組み知らずに書いてるような記事だな

389 :
>>387

その記事のベンチ表って、何も知らない人を騙す資料になってて
実際には、ソフトエラー・対放射線・ノイズによる、LUTデータ化けなんかのfpgaの弱点
語らないで書いてるからちょっとフェアじゃない。

けど、fpgaにするにしてもエラー補正と冗長設計でなんとか弱点を克服するんだろうけど
その分のリソースおーば^ヘッド考えたら、結構高いもんにつくよね。

結局、第一世代製品、第二世代製品ぐらいまでFPGAで起こして、
第三世代製品でASIC化とかになりそうな悪寒。

390 :
悪寒とか使う奴、令和に居たんだ

391 :
えw
だってだってボクチンオッサンだもん。

392 :
>>389
発想がルネサス社員だけど、FPGAでどう設計していいかわからないんだろwww
笑える

393 :
まぁディープラーニングでも勉強してこいよ
無理だろうけど

394 :
FPGAなんか使えても何もうまみないし(震え声)
ソフトの方が簡単に儲かるし(泣き声)

395 :
Xilinxの営業セールスでも呼んで勉強してこいよ

396 :
勉強代はおいくらぐらいになるでしょうか・・・?

397 :
>>394
FPGAやると、マイコンの気持ちが分かるようになるよ

398 :
>>396
Xilinxの営業セールスなんぞタダですぐ来るだろ

399 :
ソフトエラーどうのこうのって話はプロセスが微細で大規模回路なら当然増えるんじゃないの
FPGAで実現した回路をGAで作ればプロセスも前時代で電圧も上げられてシリコンの面積も小さくできる

400 :
>>397
4年くらいFPGAやってたけど、いまだマイコンの気持ちなんてわからん
どんな条件をクリアすればマイコンの気持ちがわかるのよ?

401 :
マイコンのお気持ちを理解出来るようになってからが本番

402 :
>>399
その程度の話なら、さすがに怒っちゃうよ。
なんかあるんだろ、はよ語れ。

403 :
https://av.watch.impress.co.jp/docs/review/review/1197225.html

404 :
ASICじゃいかんかったんか。
数が出る見込みがなかったのか、仕様を固めきれなかったのか。

405 :
ASICの設計は技術が必要だからな。
設計っつうか検証だけど。

406 :
>>403
これ作れるな
オーバーサンプルでデシメーションしてSN稼げばいいんしょ

407 :
できるできると言うだけで何もしない奴は何もできないのと同じだ

408 :
デジカメですらASIC作れなくなってきた時代

409 :
住友のFPGA求人おかしい

410 :
>>407
何を言ってるんだ

411 :
可笑しな求人と言えば、以前こんなのがあったなw

【Verilog】 記述言語で論理設計Project14 【VHDL】
http://rio2016.2ch.sc/test/read.cgi/denki/1470611688/798-806
https://i.imgur.com/8kITUeN.jpg

412 :
倫理回路w
どんな分野の人が求人書いたんだろう

413 :
オフホワイトとかグレーとか多値論理回路

414 :
オフホワイトは倫理回路の検証が必要

415 :
‪この会社。出禁レベルで関わらないこと。‬
‪株式会社クイック https://919.jp/‬

416 :
>>412
キカイダーとか作ってるんだろ。

417 :
>>416
AVのモザイク掛け
倫理パラメータが下がるとモザイクが細かくなる

418 :
https://pc.watch.impress.co.jp/docs/news/1200442.html

419 :
倫理回路ってソフトウェア実装じゃダメなの?

420 :
PCIeインターフェースが付いたFPGAボードなんて昔っからあるのに

421 :
いつか1万円くらいで買えるようになると嬉しいんだけどな、ライセンス込みで

422 :
FPGA単体で結構なお値段の模様

423 :
鉄腕アトムの実装が始ってると聞いて

424 :
黒い筐体からはみ出してる緑のレジストがすごくダサいな
レジストも黒にするとかすればよかったのに

425 :
PCの中なんてLED電飾でイキってるゲーオタくらいなもんだ

426 :
あんな物一体誰が買うのかと思うんだけど
いろんな所から出てるよね、LED

427 :
バカほど光物が好き

428 :
赤身とイクラでも食ってろ

429 :
NECのゲーミングPC「プロジェクト炎神」
2019年8月5日
https://game.watch.impress.co.jp/docs/kikaku/1200240.html

>そして個人的に気になっているのが「NECのゲーミングPCは光るのか」。
>意見を求めたところ、すでに調査済みで6割のユーザーは「光らなくても買う」という結果を得ているという。


つまり4割のユーザーは光らないPCは買わないという

430 :
キラリと光る何かが必要だ。

431 :
電源ランプで我慢しとけ

432 :
https://monoist.atmarkit.co.jp/mn/spv/1908/07/news017.html

433 :
https://crypto.watch.impress.co.jp/docs/news/1200097.html

434 :
にこにこもFPGAかASICか作るって言ってた気がするが
その後の話を聴かないな

435 :
ニコニコの装置(サーバ?)にはソシオのチップ使ってるのあるよ。

436 :
エンコーダーだっけ?

437 :
592 名前:名無しさん [sage] :2019/08/11(日) 07:18:32.40 ID:k64D6ck10
ななしっくす土屋 会話集

ルネサス退職者スレ
https://mao.2ch.sc/test/read.cgi/haken/1517763997/

438 :
>>434
とりあえずエンコーダはつくったらしい

439 :
株式会社クイック 経由でFPGAの転職を探してはならない。

440 :
株式会社クイック 経由でFPGAの転職を探すことが望ましい。

441 :
円天職

442 :
ニュース
2019年8月22日

16nmの最新FPGA:
900万個のロジックセルを搭載、Xilinxの「Virtex VU19P」

Xilinxは2019年8月21日(米国時間)、16nmプロセスを用いたハイエンドFPGAファミリ「Virtex UltraScale+」として、900万個のロジックセルを搭載した「Virtex UltraScale+ VU19P(以下、VU19P)」を発表した。

https://eetimes.jp/ee/spv/1908/22/news082.html

443 :
どんな使い道があるんですかねぇ

444 :
Intel PAC の Qualtus が無料だとIntelから聞いた。

445 :
>>442
こっちのがもうちょい詳しい
https://news.mynavi.jp/article/20190822-881209/
主戦場は動画と機械学習だろうね

446 :
インテルがFPGA新製品「PAC D5005」を市場投入、音声テキスト化や映像変換に利用可能に
2019年8月26日(月)


https://it.impressbm.co.jp/articles/-/18433

447 :
エミュとかで試せないの

448 :
>>447

QualtusはPACのみ無料

449 :
評価ボードで遊ぶことはできても、評価ボードを作る知識が無いのが悲しい

450 :
それは評価するチップを作ってからだ。

451 :
ソフト屋から入ったから、ハードありきでしか開発できないんよ。
データシートもろくすっぽ読めないし、今から勉強しようにもどこから手を付ければいいのか分からん。

452 :
>>451
ソフト屋でもハードを作る際に必要なものを指示してくれると助かる。
CPLDなんかはどうにでもつなげるけど、FPGAやマイコンになると専用配線の引き出し必要になるし。

453 :
PICかAVRみたいな超小規模なやつの参考書読んだら?

454 :
>>451
上層のアプリを作るならデータシートの存在すら知らなくても問題ないけど
ベアメタルとかドライバとかOSとかやるならデータシートをある程度読めないと何もできないよ

ソフト屋なら定格がいくらとかどんな実験環境でどんな波形が取れるとかいう情報はバッサリ切り落として
ロジック部分だけ読めば十分だけど

455 :
ZYBOのボード買ってツールをインストールするところをやっていこう、PCをじっくりいじる暇がないw
ほんと学生の頃でもないとゆっくりできないかんじだね。
或いは本当にプロとして向かうか。

456 :
Quartus IIでFFT MegaCore functionを使用したいのですが、Generateを実行すると必ず画像の進捗バーの状態で止まってしまいます。
タスクマネージャを見てもCPUの使用率は0%です。
新規プロジェクトでやっても同じようになります。
皆さんはうまく行きますか?
どうすればよいのでしょうか。
https://i.imgur.com/5OSkPlV.png

457 :
>>456 お金で解決
無料Verではみり

458 :
そうなんですか?
どこかにそのようなことは書いてあるのでしょうか。

459 :
https://qiita.com/miyox/items/cf23040d8fa10ac62ff3
ビルドできない理由(2)の事象とおもわれ

460 :
画像はチャタリングを除去したボタン押下信号でカウンタを進めてROMのデータを順番に読み出す回路です。
チャタリング除去は、クロック信号で19bitカウンタをカウントしてそれが0になったときだけボタンの信号を取得して出力する回路でやっています。

これに制約の設定をしてみようと思ってクロックやI/Oの制約を設定したのですが、チャタリング除去後の信号をカウンタのCLKピンに入力する部分の配線で未制約になるのですがどうすれば制約できますか?
https://i.imgur.com/ow4VLr1.png

461 :
ロジックの出力をクロックにするのはNG
製品だと品証から出荷ストップされるレベル
0→1の変化を取得してカウントアップする作りにしましょう

462 :
FPGA 使ってるのに品証とかあるんだ。

463 :
そうだよね、医療機器でもFPGAは品証の対象外!

464 :
>>460
create_generated_clock
後はご自分でお調べ下さい。

465 :
>>464
それだと分周しかできなくね

466 :
ボタンを押している間だけカウンタがenableになってクロック信号でどんどんカウントされていく回路を作ってSignal Tapで波形を見たいのですが、自分が見たいのはボタンを押した瞬間のものなのですが、負理論で取得されるボタン信号のインバースに立ち上がりトリガを設定してるのにデータ取得を実行するとボタンを押してない間に取得がすべて完了してしまいます。
どうすればボタンを押したときから取得できますか?
https://i.imgur.com/C86Zx2F.png

467 :
すみません、解決しました。

468 :
どう解決させたかまで書いてよ

469 :
>>467
>>468は気にしなくてもいいぞ
お疲れ様

470 :
住友FPGAの案件が永遠載っているが、あれは応募しなくてOK

471 :
富士フィルムには派遣しなくてOK

472 :
三菱 ソニーも派遣しなくてOK

473 :
派遣に頼らず、自社の「優秀な人間」で開発し利益出していればいいだろうよ

474 :
そういえば、日立ICS 派遣者の前で「優秀な人が来ないから正社員を取る」とか言ってたな。
俺が座らされた席の正社員はソニーセミコンダクターに派遣に行っていたようだが。

475 :
>>473
搾り取られたのか?

476 :
優秀な人間とは搾取する人間である。

477 :
國民は生かさず殺さず

478 :
>>477

言っとくが、おまえもその「國民」の一人。
例外でなく搾取されまくりだから。お前も。

479 :
産総研と東大、AI機能付きDASチップの開発加速

〜中略〜

 2つ目は、「AI機能を回路に実装するための基盤研究」である。
AI処理に向けたFPGAアーキテクチャ探索やAI処理に特化したFPGA開発アセットを構築する。
また、医療や工業システムにおける、AIアクセラレーターを活用した画像解析応用など、AI機能を実装するための研究開発を行う。

https://eetimes.jp/ee/spv/1909/09/news019.html

480 :
産総研とかNEDOとかいいよね。

481 :
東芝、ミリ秒オーダーで大規模な「組み合わせ最適化問題」を計算する技術を2019年中に適用実験 金融分野などに期待
ITmedia NEWS2019年9月13日 16:51


東芝は9月11日、組み合わせ最適化問題を大規模かつ高速に解ける「シミュレーテッド分岐アルゴリズム」(SB)を、FPGAなどの専用回路に実装する設計技術を発表した。
同技術でSBを実装したFPGAでは、入力から出力を返すまでにかかる時間は1ミリ秒未満だという。
実用的な問題を解く実証実験を行い、今年中の成果発表を目指すとしている。


https://www.excite.co.jp/news/article/Itmedia_news_20190913113/

482 :
企業の研究は魅力的だなー
もう日本の大学のFPGA関係の研究室はなくなっていい

483 :
院卒にまでFPGAで何か組んだ経験ありますかって求める企業様だぞ。
当然玩具じゃなくて就職に向けての課題だぞ。勘違いしないほうがいい。

484 :
ただ、そっちの方向性で採用された場合、馬車馬のようにRTL書いて終わる人生なんだよな。
どっちがいいんだか・・・。

485 :
>>484
もっと効率的にがっぽり働きたいなら、院からエクセルとパワポでマネジメントすればいい。
10人ぐらいのマネジメントをこのように行いました遅れはこの程度で無事期限内に作業を終わらせられました、
って説明出来たら都内の大学から都内大手に行けるんじゃないの?

ただ、技術者のマネージャーとしてひたすら外注やら協力会社相手にエクセル弄る羽目になるけどね。
給料はいいんじゃない? あとは結婚と過労を含め体力だけ気にしてればいいよ。

486 :
糖尿乙

487 :
>>485

普通に、もともと大手電機6社のどこかに新卒で入った人間なので、
若干認識が違うなーっと思ってしまった。

新卒にそういうスキル求めてないよ。
俺も数回リクルーターやったけど。

ただ、ご記憶にある通り、半導体事業に従事していたので
もう本体からはExitしてる。

そうなると、給料も微妙にシュリンクするんだよね。
あと、大手電機って、そもそもそんなに給料イイんだっけ?って思う。
家賃が高い地域にあることもあって、言うほどブイブイ言えないよ。

よっぽどデンソーあたりに入社して、地方に居て高給もらったほうが
随分と贅沢できるのではないかと思う。

488 :
ごめん。話がおもいっきりずれたwww

RTL人生対、マネジメント人生の話だったね。

マネジメント要員は、スキルだけでは選ばれないような人選だよ。
なので、ちょっと認識ずれてるのは確か。

489 :
シャープは6社に入りますか?><

490 :
今は大手電機7社っていうのか。あとラインアップも少し変わったな。

日立製作所
三菱電機
パナソニック
ソニー
東芝
シャープ
NEC
富士通

491 :
自分が学生の頃は大手電機6社って、NEC・富士通・東芝・沖・日立・三菱だったような気がする。

492 :
沖もサンヨーも知らんわ
松下やろ

493 :
電「機」でソニーとシャープっていうのは違う感じ

494 :
大手電機メーカーは三社だよ
日立
東芝
三菱
これだけ
電気機械製造業ね

495 :
電機大手8社と言えば一般的にこれだよ
ちなみに日経な、他のメディアでもそうだから気になるなら自分で調べて

https://i.imgur.com/7xfXUbJ.jpg
https://r.nikkei.com/article/DGXMZO48317710X00C19A8TJ2000

496 :
外資系も入るのか。

497 :
ルネサスリストラされて、FPGAの仕事すらもらえなく、電気会社の宣伝とは情けない

498 :
>>497

電機と電気

半導体業界と電機業界のかかわり

について知識が足りてないな。

まずは組織の成り立ちから勉強しなさい。赤点。

499 :
知ってるなら教えてやらいいのに

まぁ、中途半端な知識をひけらかしてほかの奴に突っ込まれるリスクを考えたら
自分では説明しないで偉ぶった方が頭がいいか

500 :
>>499
常に上から目線で優位に立つことしか考えないからどうやら図星らしい
パワポばかりで、請負とか派遣にやらせてたから、HDLは書けないので当然FPGAの話は出来ないからw

501 :
>>500

>パワポばかり

どっちもどっちだな。
仕事って上流に食い込まないとガッポリ稼げないようになっているけど、
実際の実設計もできないと舐められるってのも事実だからな。

自分みたく、
・フルマニュアルベースのデジアナ混載チップ
・ハードマクロ・ソフトマクロリリース
・プロマネも少し

って広く浅くやってきた人なんて少数派かもしれんね。
勝手にルネ社員にされて、リストラ済みとか言われたけど、
やっぱあれかね?ルネはASSPやマイコンが強いから名が通るのかね?
他にも旧大手電機の流れをくむベンダーはたくさんあるけど、
そういうのは疑わないの?

502 :
>>495
日経の基準なんかアテになるかよ
電球から原子炉まで、これが出来るのが
日立、東芝、三菱だけ
まあ原子炉は問題多過ぎて撤退したようだが
火力発電や水力発電所の大型発電機、重工業用の特殊モーターや制御装置までとしときましょうか
もちろん家電もコンピュータもね
要するに重電出来るか否かが判定基準
出来るところは総合電機メーカーとも言う
ソニーやパナは弱電家電なので論外
NECはNTTの御用聞きの電話交換機メーカーがパソコンで育っただけで論外でリストラしまくりでオワコン
富士通は通信機器からのコンピュータ関連で論外
シャープに至っては電卓屋出身で論外
工業用の機械を製造し大々的に販売してない会社が電機を名乗れるかよ
電気器具製造業ならまだしも
電気機械器具製造業の従業員1万人以上の大会社で検証してみ

503 :
>>501
>広く浅く
別の言い方をすると
どれもこれもかじっただけでプロではない
最も求められない状況
現在稼げるのは一つか二つのジャンルを世界最先端のレベルでディープに追求し、規格制定に携われるレベルの人だけだな
そのレベルでもリーマンなら2000万手取りが最高レベルだが、日本では
日本以外なら倍貰える
中小企業の下請けとかなら、広く浅くが向いてるかもね
だって人手不足で一人で5役とかなんでしょう?
中小企業の役員クラスなら手取り800とかですかね
知らんけど

504 :
>503

おまえの目節穴だな。

広く浅くは厳密にはプロじゃないけど、日本の社会ではそういう人がゼネラリストになってゆく。
逆に素人目に技術面でのみのプロは、労働集約型の労働環境で搾取されるのみ。(こと規模は問わず、派遣や中途はこの手)

なので、割と大手に居ると、「なんでこんなプロがこんな薄給で働いてるんだ」「プロって何のために居るの?」ってなるんだよ。
そんなことも知らない段階で厨房レベル確定。 不合格。

505 :
あと俺の目には>>502はちょっと論点がずれてるように思う。
大手電機6社(現在は8社か)の定義は、世間一般が決めることだから、
結局のところ。絶対基準がないので、世間で注目されている業績の企業って括りでピックアップされるから、
日経なんかが取り上げる数社が大手電機の定義でいいと思うよ。

506 :
>>504
ふーん
別に他人の給料や状況はどうでもいいや
自分の手取りだけが本当の問題なので
業界の知識とか必要ないなー
ゼネラリスト?
それで稼げるならご自由にどうぞどうぞ
稼げなくて愚痴こぼすなら嫁にでも言えば?

507 :
ゼネラリストってなにか知らないんだなwww

会社の社長さんとか最低でも部長職以上のことだよ。
そういう人の給与のほうが一般社員より稼いでるのは自明だよねぇ?w

508 :
で、あんたの言うような、業界標準を策定するような要員は、
せいぜいで主任で人生終了。
課長にもなれないでリーマン人生終了。

そういう理不尽な世界なんだって、日本は。

509 :
>>505
世間が決める、ってのは間違いだな
今じゃどうか知らんが、
ベースアップやボーナス額の発表や基準は、最大手の日立が決める
それに並ぶか一段落とすかは東芝三菱が決める
その他は三社の動向を見て一段か二段落とす
これが伝統的な流れ
世間が認識してるのはここまで
今じゃソニーパナの方が稼ぎがいいかもね
兆円単位で原発の損きりしたから東芝とかボロボロだし
大手電機メーカーってのが理系就職人気ランキング上位って意味ならソニーパナは入っていいいよ
ソニー銀行とかソニー損保がどうなるか知らんがな
厳密には旧通産省が決めたことなので、日経とか世間とかが決めることじゃないね
日本の経済活動上優位に立てるようにあえて3社に集約したわけ
自動車製造会社の数が制約されてるのと同じ理由だね

510 :
>>507
>そういう人の給与のほうが一般社員より稼いでるのは自明だよねぇ?w
雇われ社長よりも給与が多い人はいっぱいいるがな
そんな簡単なこともわからないの?
給与が低い一般社員にはお知らせすると軋轢を生むかもね
ごく最近では日本でも一部の会社がスペシャリストに高報酬を出してると報道され始めたが、昔からだよ

511 :
また、なんか頭が病気な人が暴れてるな

512 :
>>508
日本って落ちぶれたな
ほんとにそう思うわ
なぜそんなボロにしがみついてるのか不思議
誰もかれもが西海岸に行きたくてしょうがない、行ったらどうするって話ばっかの雰囲気あったのにね
まあ、行けなかった落ちこぼれが騒いでるだけか

513 :
おまえ、本当に中二病だな・・・。

「どこが?」と思うなら、そのお前の書いた文章をお前がよく知る人に見せてどう思うか問うてごらん。同じこと指摘されるから。

>厳密には旧通産省が決めたことなので、日経とか世間とかが決めることじゃないね
>日本の経済活動上優位に立てるようにあえて3社に集約したわけ
>自動車製造会社の数が制約されてるのと同じ理由だね

大手電機6社時代の通産省による編成と補助金交付の話を、今の時代に同じように大手電機8社に適用して語るのはあほだろ。

514 :
>>499
>知ってるなら教えてやらいいのに

>まぁ、中途半端な知識をひけらかしてほかの奴に突っ込まれるリスクを考えたら
>自分では説明しないで偉ぶった方が頭がいいか

純日本的思考の方はそうだろう、リスク管理も出来ないと自白
で?
頭がどうこうって同調圧力かけて正論をブッコワス?
美しい日本がこれ

515 :
>>512

自分も正直言って分からなくなってきてる。

自分はゼネラル候補だったけど、技術者志望だったので、
我を貫いたら、なんだか微妙な立ち位置になっていた。。。。

普通に設計や管理の意味で仕事に困ることはないが、これといった「顔」がない。

ただ、食べるに困らない給与を貰い、そこそこ楽しい職場に恵まれたことだけを
満足の材料にするんだろうなって思ってるよ。

自分とは別に本当に社長になりそうな勢いで上り詰めてるサルがいるんだが、
そのサルはデザインハウスにサインオフ条件の指示を誤り、
某品種で大損害を出した。DRの場では非難の荒らしだが、
役員に守られて、お咎め一切なし。

もうやってられません。

516 :
>>513
6や8とか持ち出してる時点で
もう無理
バカの壁

517 :
「バカの壁」とか借り物の言葉で罵倒する稚拙さが命取り。

自分の言葉では正しいことが何一つ主張できない人なんでしょうね。

518 :
>>515
結局は会社員なら、会社にもたらす利益の総額
大失敗大損失でもトータルで大利益なら昇進
失敗ゼロでもトータルで小利益なら昇進はない
もしもトータルで大損失奴をかばってるならその会社は潰れるから転職おすすめ

519 :
>>517
それがまさにバカの壁
説得するだけ無駄
だから、わかる人だけレスください
わからない、わからないといくら主張されても説明義務はないので

520 :
>>518

本当に中二だなwww

世間は結局好き嫌いのレベルの官能評価だよwww
それ以上は考えてないwww
じゃないとあのサルの失敗はリカバリーできる金額じゃないんだwww

少なくとも俺の勤務先では。あんたの勤務先だと>518のような事なんだろうと思うけど。でもそれって本当ならかなり恵まれているように思えるな。(笑)

521 :
>>520
その会社マジでヤバイよ
某東芝の子会社で吸収合併の成り上がりで直下の子会社になったのがあって、
そこの統括責任者が古い飲み友達だったけど、
今日ン億円の赤伝切ったわ、ガッハッハ的なのを趣味の集まりの笑い話で持ち出して来て、コイツこんなにヤバかったかな?一人のエンジニアとしてはアベレージを超えてたとは思ったが
ってのを最後に本社ごとめっちゃくちゃになった
金勘定もマネージメントも出来ないエンジニアに管理させる程の人材不足になったら、逃げ時だよ
退職金満額貰えるうちにさ
家のローンとかどうなったんだろうか、音沙汰ないけど
んで、そのサルはさ単に気分次第で上に気に入られて、馬車馬してる肉体労働バカなんだろ?
手綱を持つ軍師がいなきゃ、暴走しっぱなしじゃないの
まあ、体育会系の押しが強い猛将も使い道があるが、所詮は馬なんだよなぁ
誰かが運転しなきゃ崖から飛び降りるな

522 :
もう一個思い出したけど
時価総額3000億円の会社なんだぜ!と目の前で言い放ったオーナー社長がいて
数年後に株価0になった上に逮捕されてたのもいたわ
時価総額兆円未満ならウエットな人間関係でも経営できるけど、兆円越えしてるのはことごとくウエットな創業連中をばっさり切って、人間を将棋の駒の如くドライに動かして、捨て駒をどんな状況でも正確に切れる奴だけだな
管理対象が300人までならギリギリ顔と名前を一致させて個性を発揮させてやれるけど、1000人オーバーなら数字で管理した方が楽だし、それ以外の方法はないね
個人的な感想で申し訳ないけど、もう数字しか見てないわ

523 :
>>501
ここFPGAスレ
何でおまえいんの?

524 :
ほぼかすってすらいないな

525 :
CEATEC 2019事前情報:電池駆動も可能な超低消費電力AI、Latticeが展示 - EE Times Japan
https://eetimes.jp/ee/spv/1909/18/news028.html


ラティスセミコンダクターは、電池駆動の実現も可能な低消費電力、オンデバイスのAIソリューションやハードウェアルートオブトラスト(RoT)を実現するための組み込みセキュリティブロックが搭載されたFPGA、
フレキシブルなビデオコネクティビティのためのMIPI D-PHYハードIPを搭載したFPGAに注力。同社のFPGA製品であるECP5、CrossLink、MachXO3D、iCE40 UltraPlusのそれぞれについて、「特徴を最大限活用したデモを展示する」としている。

526 :
分かる人いたら教えてください。
XILINXのVivadoでFPGA回路作ってるんだけど
コンフィグ保存用のSPI Flashはサポートが明記されているモノ以外は
認識しないですかね?

適当にピンコンパチのSPI Flash使ったら
Unable to read device properties.
Please make sure that the proper configuration memory part is selected.
と言われて書き込みできませんでした。

Vivado上でメモリパーツを選ぶ際に選択肢になかったので
メモリサイズが同じ別のデバイスを選択しました。
Vivado側からメモリデバイスをチェックしているということなんでしょうか?

527 :
プロトコルが互換なら大丈夫でしょ
そもそもどうやって違うって認識するのさ

528 :
SPI FlashのIDを読んで認識するので、
騙すバッチを書く裏道がある



しらんけど

529 :
使えるのと使えないのがある
たまたま使えたのかもしれないけど深くは考えなかった
サポート対象品を購入して解決おすすめ
万一動かない場合があると地獄なので

530 :
皆さんありがとう!

今回初めてなので
どこに問題があるか中々切り分けられなくて。

SPI通信用の四本のうちFPGAからの出力三本は
クロックを含めそれなりに波形が出ていたので
フラッシュの相性ってあるのかなと
疑問に思ったんです
ID見てチェックしてるのなら
認識できないフラッシュがあるのも納得できました

ちなみになんですけど
うまくフラッシュに書き込めた場合は
次回からパソコン使わずに基板の電源投入だけで
いきなり動き出すようになるんですよね?

531 :
そもそも回路図あってんの?

念のため聞く

532 :
デバイスのコンフィギュレーションガイドを読め

533 :
>次回からパソコン使わずに基板の電源投入だけで
>いきなり動き出すようになるんですよね?

そんな初心者なのに、なぜサポートされていないflashを使うようなことを……
冒険者だな

回路とか間違ってなければFPGAが読み込んでくれるはず

534 :
昔PLDライターでシグネチャーとかあったよね

535 :
シグネティクスのPLAとか詳しいな。

536 :
コンフィグ時はid見ないけど、vivadoからの書き込み時はチェックされる

ISEと違って誤魔化せない

537 :
一度の積和演算を数サイクルに分解して演算器を使い回しするにはHDLでどう書けばいいでしょうか?

538 :
>>537
まず、積和演算をどのようにHDLで書くつもりでしょうか?

539 :
例えば1クロックでx=a*b+c*d+e*fのように演算させるところを掛け算器一個だけで3クロック使って演算させたいです。
コンパイラはvivadoを使っています。

540 :
こんな感じ?

module top(input clk, output [31:0] x); // x = 2 * 3 + 4 * 5 + 6 * 7
wire [31:0] x;
reg [2:0] s = 3'b001;
reg [31:0] a;
reg [31:0] b;
always @(posedge clk) s <= {s[1:0], s[2]};
always @* begin
case (s)
4'b001: {a, b} <= {32'd2, 32'd3};
4'b010: {a, b} <= {32'd4, 32'd5};
4'b100: {a, b} <= {32'd6, 32'd7};
endcase
end
addmul addmul_i(clk, a, b, x);
endmodule

module addmul(input clk, input [31:0] a, input [31:0] b, output reg [31:0] x);
initial x <= 0;
always @(posedge clk) x <= x + a * b;
endmodule

541 :
>533
ホントですよね……
つい手元にあったもので試してみちゃいました……

>531,532
回路はUGまんま参考にしました
SPI x1 で接続なので、VivadoではSPI x1を選択、
FPGAのmode端子もSPIモード指定してます。
FPGA自体にはプログラムできて、動きました(JTAG only モード)
flashへの書き込みだけがうまく行ってないです

>533,536
参考になります!
ありがとうございます!

まずはちゃんとサポートされてるflashに替えて試してみます!

542 :
フラッシュ壊れてるだけじゃ

543 :
>>539
ブロック図書いてみようよ。

544 :
>>539
>例えば1クロックでx=a*b+c*d+e*fのように演算させるところを掛け算器一個だけで3クロック使って演算させたいです。
>コンパイラはvivadoを使っています。

これ新人君で先輩から課題を与えられて、考えることが出来ないと予想しましたが如何でしょうか

545 :
>>542
FPGAが出力するデータ信号に対して
SPIから応答(何らかのシリアルコード?)があるので
動いていると思います。

546 :
>>544
初心者にはちょうどいい難易度ですよね
私は学生さんの宿題だと思いました

547 :
>>545
ライター使ってあぶればきちんと動くよ

548 :
確かISEの時はwindowsの環境変数にIDチェックを
スキップする変数を書けばできた

vivadoでもあるのかな?
もしダメなら代理店に相談すれば
色々と教えてくれるよ

549 :
Intel、PCIe 4.0/Optaneメモリに対応したFPGA「Stratix 10 DX」
2019年9月20日 18:44


https://pc.watch.impress.co.jp/docs/news/1208609.html

550 :
インテルがアル寺を買収したかった最終目的のはコレ?
もうQuartusがしょぼすぎてXiに乗り換えちゃったけどさ

551 :
いんや
Intelは100Gbit/sや400Gbit/sのLANをCPUに統合する際にTCP/IPオフローディングをFPGAで狙ってる
規格がどう転んでも真っ先に対応するたにFPGAとの自由な統合が必要
そして世界初のリードを保てるうちはいくらでも儲かる
の予定だったがFabがなー
セキュリティホールでCPUがなー
AMDがXiとくっついたら面白いが、ファブレスとくっつく意味が薄いのも事実

552 :
>>539
ここは学生の宿題に答えるスレじゃないし、課題は自分自身の独力で解かなきゃ何の意味もないよ
単位だけが欲しいなら、外注すれば?
仕事頼めるサイトあるじゃんか
それを使ってインド人にでも頼め
はっきり言って、こんな所で初歩の初歩を教えてもらって育ったような人材はどこにも活躍の場はない
30分本読むなりネットで調べるなりして
15分で書いて
15分でテストベクター作れる程度の頭が無いなら、不向きとしか言いようが無い
数学が苦手な奴が保険会社に入って、保険料の算定を任されたとして、何ができるんですか?ってレベルで合ってない

553 :
>>552
専用ソフトにパラメータ入れたら金額が出るよ
君が手計算でシミュレーションしないのと同じだね

554 :
たとえ話はある程度同じ認識をもつ人間同士でしか成立しないから人を諭すときには不向き

555 :
ム板とか電磁気学では宿題よく見るけど
FPGAとかで宿題依頼観たのは初めてな気がする

556 :
>>551
こけてxilinxに負けて、intel事業潰してaltera無くなりそうな未来まで見えてます

557 :
>>550
デバイスの性能云々以前にツールがダメダメでデバイスを使えないという
なんかIntelに買収されてから微妙になってきた気がする

558 :
今後、CPUだけで自社Fabを維持できないのが見えてたからな

Foundry ビジネスでも始めるつもりで手に入れてはみたが
当のプロセスが失敗続きでメロメロ状態、本業の自社CPU供給すら不自由する始末

あとは皆さんご承知の通り

559 :
>>557
日本の代理店の問題じゃ

560 :
どっちかというとXIの方がツールはダメじゃね?

まわりもみんなquartusの方が使いやすいと言うが

561 :
使いやすさとかじゃなくて最新デバイスを使うときのバグ
まともにFittingできなくて、ここには書けないが前に色々とあった
今は知らんけど

最新デバイスという面ではXilinxは安定してるね
UltraScaleやUltraScale+がリリースされてすぐ使ったけど、そんなにハマらなかった

562 :
vivadoはビビるぐらいgit管理しづらいけど
quartusはどうなん?

563 :
FPGA触れるやつなら一度はHFTで大儲けする妄想するよな?

564 :
最初から無理だと諦めてますわ

565 :
そもそも一般人には入力となる情報がそんな低遅延では届かない

566 :
通信先との距離がワンブロック以内の物件を借りられるとは思えない
しかもバックアップとの多数決で正常判定するなら最低3つの別の建物を借りる必要がある
トレーダーの下請けの仕事とか面白くないの確定だろ
種銭も集めなきゃ

567 :
なんかキチガイが

568 :
CMエンジアリング は 元沖電気工業の半導体部門だって知っているよ
FPGAでソフトウェア無線つくっていたから二次面接までいってる

569 :
趣味でしかFPGA触らないけど
vivadoを仕事で使うの大変そう

570 :
quartusも同じくらいには大変なんじゃないかな?
結構サクサクだったりするの?

571 :
quartusの方が全然軽いしコンパイルも早い

ただvivadoの方が比較すると機能てんこ盛りで
先進的な取り組みをしている印象

572 :
それはそれは…
今後、Alteraへの乗り換えを本気で検討します

573 :
Alteraはフィッタだけであとは全部他社のお試し版バンドルしてるだけだろ
とくに買収されてからまったく動かなくなった。シミュレータも機能制限のModelsimだけじゃなかった?
SystemVerilogも使えないし。
VivadoはSystemVerilogどころかもっと高位設計だってできる。どの程度使い物になるかは知らんけど。
もう最近FPGA使ってないんだよな
CPUだけでほとんど事足りる。

574 :
プロジェクト内に管理対象を置くとバージョン管理がしづらくなるから外においたほうがいいよと言いつつ
何かとデフォルトで中に置こうとするvivadoちゃん

575 :
>>573
おまえAltera使ったことないだろ?ウソをつくな

576 :
>>573
FPGA使ったことのないルネ○ス工作員のまたうそでデマカセカw

577 :
物言いはいいが情報を増やしてくれよ

578 :
ひとまず>>573の内容は奇跡的にすべて間違ってる
ちょっとググれば分かることなのにな

579 :
工作員はFPGAのツールすらまともに使えない

580 :
>>562
Quartusは常識的に管理できる
Vivadoみたいにタイムスタンプやら中間処理状態をファイルに入れたりしない

581 :
機能はどうあれQuartusの方が使い易いな
しかしVivadoはほぼ全機能がタダで使えるのはデカい

582 :
>all
メモリ替えたらちゃんと認識しました。ただ
cannot set enable bit or blocks protected
というエラーが発生して書き込めませんでした。

フラッシュ側のWRITE ENABLE用の端子は
外部でアクティブ側に固定しています。

考えられるのはVivado側で設定が足りないのでしょうか?
初心者が間違えやすい箇所とかあったら
教えてもらえると嬉しいです。

メモリは128Mb
SPI x1
特に変わった設定にはしていません。

訊いてばかりでスミマセン。

583 :
>>575
>>576
>>578
どこがまちがってるかいってみろ。
アルテラ工作員かチンカス野郎ども

QuartusはSystemVerilogのシミュもできないmodelsimの機能制限版しかついてねぇじゃねーかwwww
あいかわらず。
Xiとちがって、完全にツール進化の停止してしまったのが
Intelに買収されてからのアルテラだ。

ちがったら言ってみろカスが。

584 :
論理合成はついててもおまけ程度。
Synplifyの足下にも及ばんかったが
最近はまともになったのか?アルテラはwwwww
ああいうのはお試し版よりまだひどいわな。

Quartus = 他社の機能制限お試し版寄せ集めバンドル

585 :
ID:qjuDX0Uk がこういう考え方してても、別に誰も損はせんよね。
たくさん人がいれば、いろいろな人がいるのは仕方がない。

586 :
そうだね
世界は広いなぁ

587 :
>>583
なにをそんなに熱くなってるかわからないけど、一度冷静になって、最近の状況を確認した方が良いんじゃないかな。
シミュレーションの話に関しては間違えてるよ。

以前から(たぶん2000年ぐらいから)Quartus Primeのシミュレーション環境にはModelSimが提供されてる。
フリーウェアのStarter EditionでもVerilog, SystemVerilog, VHDLをサポートしてる。混合もOK。
Starter Editionには10,000行までという制限がかかってる。シミュレーション速度にも制限があるようだ。

Qsysの前身のSOPCBuilderが入ってきた頃からシミュレーション環境がModelSimに移行した。
その前の波形入力ベースのシミュレーションがあるのかは知らんけど、もう使うことは無いでしょ。
IPのシミュレーションでVHDL-Verilogの混合シミュレーションが必須になってきて、言語制限も撤廃された。

現在のStarter EditionのModelSimは10.5b。
行数制限があるとはいえ、ライセンス無しで言語の制限も無いStarter Editionは趣味や学生には非常にありがたい。
Quartus抜きで単独でインストールできる、ってのもメリットに感じる。

588 :
>>587
アタマのおかしい人はほっておきましょう。
バカな工作員だから

ウソをウソと見抜けないと、FPGAを使うのは難しいwwww

589 :
>>583
ようカス何か言えよw

590 :
>>587
VHDL verilogの混合がOKになったのは2010年以降だった記憶

591 :
mixed-language の対応は、Quartus II v15.0 以降らしい
だから、もう少し後だね (2015年頃)

以外と最近の事だったんだな

592 :
> 行数制限があるとはいえ、ライセンス無しで言語の制限も無いStarter Editionは趣味や学生には非常にありがたい。
> Quartus抜きで単独でインストールできる、ってのもメリットに感じる。

その制約を受ける側ではないけど、これには同意だね
ライセンス料は、趣味の人や学生が気軽に払える価格じゃないからな

その通りだと思うよ。

593 :
Vivado無料版にはデバイス制限はあれど行数制限はない

594 :
Quartusは分からんけど、Vivadoは化石マシンを使ってる俺にはちょっと厳しいものがあるよ
PaaSの開発環境ないのかな

595 :
化石でもメモリ16Gまで足したら多少なんとかなった

596 :
メモリ16GBとSSDで超快適。

597 :
>>582
そもそもmcsファイルはちゃんと作れてるか?

598 :
>>587
間違えてるのはお前
modelsim はQuestaとちがってSystemVerilog構文全対応ではないのだよ。

https://www.mentorg.co.jp/products/fpga/verification-simulation/modelsim-starter/compare/

だいたいmodelsimなんて終わったツールを、しかも機能制限版カタワバージョンをいつまでもバンドルしてどーするつもりだ。
AlteraはIntelに吸収されてから、外販で稼ぐ道はあきらめて、IntelのFPGA部門としてやっていくわけだwwww
結局シェアがそれを物語っている


>>589
まだそこにいたのかゴミ野郎

599 :
>>598
それ使う会社ソニー以外あんの?

600 :
>>598
xilinx isim 使えない

601 :
>>598
System Verilog 対応してたって合成出来ないしどうでもいいだろ。
本気で検証するならあると便利だけどな。

仕事で Questa 使ってんの?ご愁傷様。

602 :
QuartusもVivadoもsvで合成出来るよ

603 :
>>526
私もつい最近同じ状況になりました。
ISEのときサポートされていたSPIフラッシュ(ST Micro)がVivadoで
サポート対象外になっていた。(本当にやめてほしい・・・)

まず自作のSPIシリアルフラッシュ書き込みで、外部から強制的に
書き込めるようにしました。

つぎに、MicroBlazeに"AXI QSPI"を接続して、自己書き換え出来るように
しました。

604 :
>>603
わざわざ外部からSPI FLASHに書かなくてもJTAGでSPIコントローラ含むビットストリームをコンフィギュレーションしたらいいのに

605 :
AIアクセラレータコアをFPGAに組み込んだXilinxの新カテゴリ「Versal」
後藤 弘茂 (Hiroshige Goto)2019年9月30日 11:00


FPGA(Field-Programmable Gate Array)ベンダーのXilinxは、まったく新しいカテゴリの製品「Versal」を発表した。
Versalは「ACAP(Adaptive Compute Acceleration Platform)」とXilinxが呼ぶ、マシンラーニング(機械学習)や5Gにフォーカスした製品だ。
Xilinxは、8月に米スタンフォードで開催されたチップカンファレンス「Hot Chips」において、Versal ACAPの概要を説明した。

https://pc.watch.impress.co.jp/docs/column/kaigai/1209345.html

606 :
大学の先生方は当然買うんでしょ?
いい金づるだわぁ

607 :
Xilinx、FPGAをAI用アクセラレータにする統合ソフトウェア環境「Vitis」 〜10月末より無償提供 - PC Watch

https://pc.watch.impress.co.jp/docs/news/1210460.html

608 :
Xilinx もやること無くなってきたか。

609 :
最近のxiはデータセンター重視すぎて
組み込みで使う機会なくなってきたわ

610 :
組み込みだとどれになるの?

611 :
>>610
もっと小さくて安いやつでいいの

基本アルテラでもっと安くしたい時にラティス

演算性能が欲しいときはxiって感じ

612 :
へぇー

613 :
組み込みできかいがくしゅう

614 :
>>607
コプロセッサはFPGAの時代キター

615 :
GPUなら10万円でかなりの性能だが、FPGAはお高いでしょ?

それにGPUは1万円以下から始められるが、機会学習向けFPGAはそんなに安くない。

616 :
10万円です

617 :
しかし、NPU 組み込みの 中華 SoC に両方食われるのであった。

618 :
HDMIで音声も出力するサンプルコードどっかにありませんかね?
できればVivadoを使ったやつを

619 :
>>618
仕様書読めば1日で出来るっしょ

620 :
1.2 だか 1.3 だかあたりまでは仕様書公開されてるしな。

621 :
俺みたいなどんくさい奴には仕様書とツールと無限の時間があっても完成できないと思う

622 :
IPで100万円で売れる物をタダで公開するわけない
タダだとHDMIの年会費とライセンス費も持ち出しになってまう

623 :
>>622
2chで遊んでる奴が仕様書読んで1日でできるようなIPなんて100万もするわけないじゃん
仮に100万するなら>>619が嘘ついてることになるんだけど、そんなことある?
だって、>>619だよ
奴が嘘つくわけないじゃん

624 :
>>623
100万くれるならつくってヤッテモイイゾ

625 :
別に欲しくないからいらないよ

626 :
カネよこせよ!俺はFPGA出来るんだぜ

627 :
2019/10/04 05:00

日立オートモティブがADASのAI処理で評価開始、米ザイリンクスの7nm新FPGA


https://tech.nikkeibp.co.jp/atcl/nxt/column/18/00001/02967/


日立オートモティブシステムズは、「Xilinx Developer Forum(XDF) 2019」(2019年10月1〜2日に米国カリフォルニア州サンノゼで開催)
の会場にブースを構えて、先進運転支援システム(ADAS)や自動運転に向けたAI(人工知能)処理にFPGA(Field-Programmable Gate Array)
を使ったデモンストレーションを見せた。
このAI処理では、カメラでとらえた動画像から人やクルマ(四輪車)、
二輪車を検出するために、学習済みDNN(Deep Neural Network)を使って推論する。

628 :
>>627
それ、Xilinxのデモまんまだね

629 :
住友機械金属工業の採用は、リクルートエージェントが代行していていどんなにスキルがあろうと、年齢ではねます。

630 :
性能評価してやるから
IPあっぷしれ
気に入ったら送金してくれ

631 :
>>618
大分前(5年位い 前)に調べたけど無かったね
仕方ないんで、仕様書読見ながら作ったら、音が出るまでに一月以上掛かったな
はっきり言って 映像部分より規模デカいよ(ざっくり言って3倍くらい)
だからこそ、探しても見つからなかったんだろうが

やりもせずに、一日で出来るとか言ってるレベルのヤツには マズ不可能

632 :
>>631
仕様書5分で斜め読みして1日で出来ると思ったよ

633 :
思うだけならだれでもできる

634 :
ゲームの世界では、そういうのをエアプと言います

635 :
Quartusはlinux版だけになるのか? WINはWSL

636 :
QuartusPrimeの19.1Windows版は選択できないんだな

637 :
インテルのMS離れ?

638 :
はい。

639 :
元々Cygwinだったけどね

640 :
だってマイクロソフトがインテル使ってくれないんだもん


マイクロソフトがザイリンクス製チップ採用、クラウド向け
https://www.bloomberg.co.jp/news/articles/2018-10-31/PHG89Q6JIJUQ01

米ザイリンクスがマイクロソフトのクラウド部門「アジュール」から受注を獲得した。
インテル製チップをザイリンクス製に入れ替える。

641 :
インテルは思うようにCPU開発できず既存チップを投げ売りし、
寺抱えたまま沈んでいくのか?
淫寺哀れ

642 :
>>636
winでも18.1 upadte1を入れるとネットワークライセンスがはねられる
インテルの代理店切りのせいで代理店経由で問い合わせても3日立っても返事が来ない.
仕事続けるのに困るからuopdateをアンイントールするのに2時間かかった

643 :
マジか

644 :
インテルは負け組何で

645 :
インテル入ってるanan

646 :
https://www.windy.com/

647 :
淫照の飼い殺し自滅で罪人窟の一人勝ち?

648 :
で、Vitisで何ができますか

649 :
https://i.imgur.com/Nw2R79x.jpg

650 :
>>629

651 :
gowinのFPGA積んだボードが販売されたようでtwitterで少し盛り上がってる
面白そうなチップやね

652 :
ただチップ単体の少量での入手ルートはない模様

653 :
最近DigilentがFPGAの新製品を出してくれてない気がする
気がするだけ

654 :
ついにhdlabが第二新卒の募集を始めやがった

面接で、「一カ月で辞められるでしょ」で退職教養はないわ

派遣バレバレ

655 :
Intel、1,020万ロジックを備えた世界最大のFPGA
佐藤 岳大2019年11月7日 15:00

米Intelは6日(米国時間)、世界最大のロジック数を謳うFPGA(Field-programmable gate array)「Stratix 10 GX 10M」を発表した。すでに一部顧客向けに出荷が開始されている。

https://pc.watch.impress.co.jp/docs/news/1217144.html

656 :
510万
1020万

違和感あるな

657 :
>>656
32bitCPUを2つ積めば64bit級!
510万LEを2つ積んだのだから
当然、1020万LEなのだよ!

658 :
64bitはともかくとして、チップ間をどうつないでるかしだいだな。
IOパッドとかバッファとか経由してたらオイオイってなる。

659 :
>>657
セガサターンかよっ!

660 :
Xilinxに無理矢理スペックで勝とうとした感
一部の顧客専用の割高モデルで一般には売られないだろうな

661 :
>>658
写真見ればわかる
バッファ経由せずに外には出せない
I/Oは別チップが隣にいる

662 :
ロジック数多くても仕方がないんだがな
DSPとかRAMのほうが大事

663 :
なんでバッファ経由したらおいおいなの

664 :
教えてください。
普段、PICを使っているのですが、i/o拡張と、速度の制約で、外部にロジックICを追加して
設計していると、シフトレジスタやら、カウンタ、バッファと、10個以上の規模になってしまったので、
この部分をCPLDに置き換えようと考えてます。
僕は、10年以上も前に、カメレオンUSBでXC95144XL をちょっとお試しした浦島太郎です。

現償の環境で小規模な回路規模を実現するために必要な情報を教えていただけませんか?

665 :
>>664
現償 -> 無償

666 :
今でもその手の用途だと
XC95XLが現役だからそれ使え

667 :
>>664
>>666 と同意見だけど開発環境は注意がいる
CPLDは各社軒並みレガシー扱いだから
古いPCならともかく、デバイス決める前に現行PCで開発アプリが動くかは確認しといたほうがいい

668 :
これだからwin7,32bit+ISEが捨てられない

669 :
>>667
開発環境意外とネックなのですね。
別に、製品に使うわけでなくてちょっとした検査器械等で使おうと思っているのです。
だから、CPLDにはこだわらないです。
わずかな外付け部品、安価なライター、手半田なのでTSOPまでという条件だとどうなりますでしょうか?

670 :
>>664
mouser で QFN32,QFN48 のFPGA を選択すると 何種類かみつかるだろ。
ICE40UP5K とかマルツでも買える。秋月では QFP100 の MachXO2-256 とか。

671 :
電源3.3Vでシンプルな構成というと、XC95xx が適当なんですね。
あとは、ライターさがし。

672 :
MAXUも現役

673 :
PIC相手だとねぇ
5Vトレラントが必要かどうかだよね…

5Vトレラントが必要なら
XC95XL使った方が楽だから…

5Vは外付け変換で十分、I/Oは3.3Vで良いってなら
MachXO2の方がRAMブロックもあるし良いのだが
MachXO2でもHEとか種類によっては1.8V電源必要だけど

674 :
秋月ラティス板貼品
AE-LCMXO2-256HC-4TG100C

675 :
>>673
最近のPICは、3.3Vで64Mhz(1step 16Mhz ですけどね)動作するんで問題ないですね。
ただ、電源増えるのは面倒です。

やりたいのは、10Mbps以上の同期シリアル信号を、SARMにため込みたいのです。
SPIのSRAMに簡単に落とせればいいんですけど、まずは、安直にパラレルで考えてました。

開発環境と、ライターのオススメが知りたいです。xpの環境も、win7(64bit)の環境もありますけど、
今後のことを考えて、win10にも対応しているのがいいです。

676 :
おや、今月のトラ技 CPLD特集なんですね。本屋言ってみよう。
実は、大昔のトラ技の付録、未使用のMAX2の基板をガラクタ箱から見つけたけど、
肝心の本を処分しちゃったみたい、

https://toragi.cqpub.co.jp/tabid/887/Default.aspx

677 :
新しいやつならcyclone10lpがオススメ
かなり小さいのからでかいのまでラインナップされてる

手軽さはmax10が一番だけど

678 :
USB Blaster互換JTagケーブル [並行輸入品] が  \1520-くらいで売られているけど、
つかっても大丈夫でしょうか?
トラ技では、
Terasic USB Blaster(1-TB1) ALTERA USB Blaster互換品 \10780-
をオススメしてたけど、ちょっと迷いますね。

679 :
基本的には動く、但し 当たり外れが激しい
(これに限らず、中華のものは皆そうだが)

たいした金額じゃないし、ダメもとで試してみる価値は有ると思う
よく見たら、\457 てのもあるな

680 :
最近のFPGAはBGAメインだけどホビーだとQFPが限界よね……

681 :
>>680
無料の基板CADで設計して
中国業者に製造出して
ご家庭にある電気コンロで実装できるよ
というかした

682 :
>>681
メタルマスクとかクリームはんだってどうなさってます?

683 :
alteraブラスターは安すぎるのは駄目みたいebayで1000円くらいのがいい
500円くらいのは駄目

684 :
USB Blaster互換の中にはBOSD起こすのもあるけど、Intelに買収される前のドライバだと
動いたりするのもあるみたい(うちでは11.0sp1同梱のドライバでは使えた)

685 :
>>682
マスクは一緒に作ってもらえるよ
ハンダはネット通販では?

686 :
>>682
>>685
ちゃんとやるならメタルマスクもクリームハンダもいるけど
趣味なら別にいらない
BGAのボールだけで付く
温度管理も手動だし

687 :
はんだボールのみで付くのか

688 :
基板みたいにチップも設計データ送ったらすぐ作ってくれるようになればいいのになあ

689 :
>>686
電気コンロってんのにガチの可能性は考慮する必要ないだろ

690 :
>>688
シャトルでやったら?
ってか、開発環境あるの?

691 :
>>688
すぐは無料
工程に2日かかる

692 :
>>689
誰が考慮してるの?

693 :
アルテラ系の開発環境は、Quartus 2 webパックという情報が多いけど、
インテルのサイトにいくと、Quartus Prime Lite Edition があるようですけど、
こっちでは、だめなのでしょうか?用途が違う?

694 :
>>693
インテルに買収された前後あたりで、名称が変わった
新しいツールでは、古いデバイスの(例えば Cyclone I/II/III 等) サポートは削除されてる
なんで、アルテラ時代の "Quartus 2"も置いてあったはず、確か・・・
自分が使おうと考えてるデバイスに対応したツール、を選択する事が必要

695 :
>>694
thx.
インテルサイトでたどり着いたら、
5月, 2015
となっていて、最新 v19.3 を選択したら、primeに誘導されてしまったのでとりあえずinstallしてみたら
win7のせいか、スタートメニューに登録されず???となって、とりあえず、直接実行できるみたいですけど、いったん削除して
「Quartus II ウェブ・エディション」をインストールすることにします。

696 :
https://www.intel.co.jp/content/www/jp/ja/programmable/downloads/download−center.html
(この板は、 "url" 張ると弾かれるかようだから、全角で・・・)

ここで、どのバージョンがどのデバイスをサポートしてるかが判る
まずは、落とす前に確認しとけ

間違えて対応してないバージョンを落としても、無駄に時間を費やすだけだからな

697 :
とりあえず、インストールできました。
ハードは、まだ用意していないけど、
ソフトシミュレータ(あんのかな?)使って、簡単なロジック回路の動作確認はできますでしょうか?
安いテストボードあれば、それを試してみたい。

698 :
>>697
普通にインストールしたらmodelsimが入ってるはずだからそれが使える

699 :
>>698
マクニカのチュートリアルを使って、何とか試すことができました。
情報がアルテラ時代のものだったので、テストベンチファイルのlinkが切れてたけど、現行のprime用で
用意されているものを使ったら動きました。
GUIの設定項目が多すぎて、独学ではかなり難しそうですけど、ボチボチやっていきます。
無償のipが比較的充実していていますね。
普段C言語を使っているので、verlog かなと思っていたけど、VHDLも悪くないなと思いました。

700 :
writerの都合とかで古いの使ってる人はご注意
Intelが古いマザーボードのBIOSやドライバーの提供を2019年11月22日で終了 - GIGAZINE
https://gigazine.net/news/20191119-intel-end-lifling-bios/

701 :
Intelマザーなんて使ってないシナ
他社製マザーに搭載されたチップそのもののBIOSとかもBIOSエディタいじくる以外は関係ないかな
それより、
マザボ起こさなくてもチップセットの評価できるようになってるの?

702 :
お前らみたいに買わないくせにブーブー文句言うやつらに卸さないだけでリファレンスは作ってるだろ

703 :
中国FPGA は 実はLatticeの人がスピンアウトしてつくった会社で設計は米国 製造が中国らしい
丸文が扱うらしい
合成ツールは、synplify が使えるとのこと

704 :
gowin面白そうなチップ多いんだけどホビーには入手性がねえ

705 :
DE10-nanoで使ってSoC FPGAの勉強始めました。
チュートリアルやってLinuxでLEDを自由に光らせられるようになりました。

が、この後何をすれば良いのやら。
HDLはそれなりに出来るので、HPCなしではHDMI出力とかカメラ画像の取得とか色々手を出してすが、
SoC FPGAならではの勉強になる事をしたいのですが、何すりゃいいのかわからず困ってます。

アドバイスもらえませんかね。、

706 :
Atlas-SoCにきりかえて、ボードLinuxでYoctoる

707 :
MiSTerかな

708 :
> DE10-nano

SOC部分は、安物中華SBCにも遠く及ばない
FPGA部分は、ON-Board DRAMの使用に大きな制限が課せられる

まぁ、はっきり言って 選択の失敗だな

709 :
マイコンですらOS入れると何して良いかわからなくなる
FPGAでとかさらにわけ分からん

710 :
>>708
無理しなくていいですよ。

711 :
>>705
Zynq の FPGA で Lチカしようとしたら勝手にプロセッサが動きだして出来なかった。
Altera なら簡単なのかな?

712 :
>>711
プロセッサが動いてできないってどういう状況よ

713 :
>>712
妄想

714 :
>>712
ハードで動かしてるのに、勝手にプロセッサの起動シーケンスが動き出してポートを初期化しだすんだよ。

715 :
Zynqってプロセッサがコンフィグしてるんだが・・・

716 :
Intel PAC セミナー受けてきたけど、なんでIntel側が初期化関数1個用意すればいいのにできないの?
レジスター1個初期化したあとどうするの?
FPGA代理店が各社にやっていたセミナー内容。
あの最低限レベル、Intelそのものはできないの?

717 :
>>715
へぇ………ちょっとPALTEKや新光商事に聞いてくるわw

718 :
FPGAで嫁と高収入がほしいです

719 :
zynqは、petalinuxのブートイメージ作ってsdとかspiから起動できるところまでやらないと、実用できないよ
プラットフォームケーブルでPLだけダウンロードして、できたつもりになってるなら、まだ半分にも達してない
PLに実装してarmにメモリマップしたIPを、linuxからdevmemでつついて楽しむもの
Intelのは標準linux環境ないのが辛い

720 :
>>717
FPGAマガジンとかZynqを使う解説本とかに普通に書いてあるぞ
代理店に聞くより前に勉強しろ

721 :
>>718
今は手に入らないの?

722 :
つうか FPGAマガジンって死んだママなんかな

723 :
>>719
ARM 使わずにロジックだけ使いたかったんだけど出来ないの?
ARM は起動後に sleep させればいいんだろうけどコードをどこに置くかすら書いてないんだよね。

724 :
>>720
両方高いし、FPGAで高年収嫁つき一戸建てがもらえないのに、調べる意味はないな。

725 :
>>721

FPGAで嫁と高収入を保障できる会社はどこですか

726 :
金融系やろなぁ
もしくはトヨタで自動運転の研究か
はたまたintelかxilinxか

727 :
>>722
定期購読の人にはどうやって還金したんやろ
図書券?CQだけに使える交換券?

728 :
FPGAマガジンは不定期刊行だから定期購読やってなかったよ

729 :
>>723
arm不要ならzynq使わんほうがいい
zynqは高いだけだから、spartanで十分

zynqはストレージから起動してpsのピン設定とplのダウンロードしたらarmに制御移すので、arm止めるコード書いて止めないとだめ。
とにかくpetalinuxのビルド環境作らないと何も始まらない
linux使わないベアメタルはもっとハードル高いから貴方には無理

730 :
別にarm止めるコード書かなくてもいい
plをビルドしてpetalinuxのブートイメージ作って起動すれば、plは動く
petalinux触らないでplだけ動かすとか、armのhalt命令をどっかから読み込ませて、とか考えてるなら、貴方にはたぶん無理
petalinuxの役割と位置付けを理解してないと、zynq扱えるようにはならない

731 :
要するに SOC+FPG は明確な目的持ってないと無駄
むしろARMが邪魔する分 劣化だな。

元々、ARM側繋ぐ事を想定しているから、ボード上のDRAM使うのにも一苦労だし
更に、FPGA側から使おうとすると そのパフォーマンスも糞(高性能とか謳ってるが、レイテンシが凄い)

俺も当初 ARM使わなきゃ
 普通の"FPGA+DRAM"ボードとして使えるだろう
と考えた事があったが
資料読み込むにつれ、そうでは無いことが判って買うのを止めたな

732 :
面倒くさそうやね。
コンフィグのビットファイルとARMの実行コードだけでいいだろうに。

733 :
>>723
FSBLだけSDKで生成してブートイメージ作るのではだめなん?

734 :
plで実装するipを、全部axiスレーブにしておけば、制御はarmからできる
pcでpciバスにカードを挿すと、pciアドレス空間上にデバイスが出現するのと同じように、armのアドレス空間上に好きなだけipを並べて、linuxから使える
それがzynqの良いところ
usbとかetherも使えるから、連携した信号処理やるなら便利
最近の案件はzynqばかりよん

735 :
ファイルシステムとかネットとかが必要なくて、ソフトウェアの演算性能が欲しいときは>>733のパターン。
NEON付き600MHz超の演算能力は助かる。
ネットとかが必要になったらLinux入れる方が楽ちん。
ちょっとした制御だけソフトでやりたいな、って時はMicroBlaze。

あと多分1GByte程度のメモリが載ったFPGA評価ボードの安いやつ、ってなると大体Zynqな気がする。

736 :
>>716
真面目にRTLコード追ってみるか

737 :
と言うわけなので、IntelのFPGAボードをクラウド鯖にさす奴が、誰も状況を把握してなくてやばいね。あれIntel潰れるぞ
ボキュ病院いったほうがいいかな?

738 :
Intel病院に入院して、看護婦さんは可愛ければいいです。入院費用はIntelが払えばOK

Intel狂ってるんで

そこでMicrosoftのAzureデスヨ!!

739 :
>>737
Intelは責任を取って、米国から園児ニアを連れてきて本気で対象しろ。

740 :
Intel Xilinx 以外のFPGAキボンヌ

741 :
>>739

https://wasa-labo.com/wp/?p=504
レジスタ ぐらい、一つのコンポーネントにして
Qualtusドラックドロップで出来るようにしてもらえないか
何でそうしないの?出来ないの?
何で10年前に他社で出来ていたことが出来てないの?
ユーザ軽視にも程があるんじゃ無いの
これ誰が使いこなせるんだよ。
使いこなせる会社あったら、それだけで起業出来る
けど、使いこなせている会社が国内に無いよね?
どっかあんの?

742 :
厳しめに書いたけど

Intel が下記のURLのように自分でFPGA用の関数を書いてくれるならばいいが

FPGAベンダーが自分でFPGA用の関数を書ける領域到達出来るプログラム(主に人の問題)が揃っていない点が最大の問題点

これを解決できる、国内・海外ベンダー・Intelを希望

QsysでAvalonバスをグリグリいじれるFPGAベンダー全員太刀打ちしても、対象出来ないんじゃないかなこれ

でだ。誰かやったことのある人とか企業はいんの?

下記のURL
https://jp.xlsoft.com/documents/intel/magazine/Intel_ParallelUniverse_Issue34_JPN.pdf




ところでXilinx側は上記のような問題はないの?

743 :
FPGAの部屋 marsee101 さんのセミナーを受けたくなったじゃないか

http://collab.info.hdlab.co.jp/about/vivadohls/

でもおかね高くて受けれない。




結局、PCに刺さるFPGAボードというものを、やっと両者がベンチャーに遅れ10年〜20年越しで出しているわけだけれども。

じゃあ、誰がやんのよ。

そういった状況にいまいるわけです。

安易に出来ると言い張る会社のソースを見ると、まゆつばものだということがわかります。

さて、今後FPGA業界はどこに走って行くのでしょうか?


それは、皆さんのみが知ります。

ワクワクしますね!!!!

744 :
井倉さんのファンだけど
なひさん講師やってくれんかな

745 :
なひさんの有料セミナーに参加したことあるが、資料が丁寧でよかった。
ただPCは自前で準備だったんだが、指定されたバージョンと違うのをインストールした人がいて、その対応で時間が無駄に過ぎていった。
なひさんはセミナーしなくていいから本書いて売ってくれ。

746 :
IPAのやってるセキュキャンの講師もしてたな

747 :
アルテラの代理店 マクニカ以外にないの?

748 :
>>747
岡本無線が辞めたんで次の保守契約の更新こまってる。

749 :
いろんな代理店を吸い込んで超爆発
マクニカ ブラックホール経営か

750 :
FPGAの話でないんだがこのスレなら使用経験者がいそうなので
同期SRAMで例えば
ttps://www.cypress.com/part/cy7c1360c-166axc
ttps://www.gsitechnology.com/node/113820
あたりのデータシートを見るとアドレス線に番号が振っていない。これじゃ配線できないと思うのだが
自分がなにか見落としている?それとも非公開情報なの?

751 :
>>750
よく読んでないですが、アドレス(A1,A0以外)もデータも、任意のものを接続したらいいってことじゃないでしょうか。

752 :
バースト転送に使うからA0A1は固定だけど他は好きにすればいいんじゃね?

753 :
そうでもないよ
256Kx32(36) or 512Kx18 の2種類あるから A17 だけは明記してある
まぁハッキリ言って、不親切な書き方ではあるな

754 :
>>751
アドレスは1対1にさえなってればどこに繋いでも良いんやろ

755 :
配線考えるとこれとこれ逆だといいんだけどって時あるしな。

756 :
ご存知かと思うが、DRAM(DDR2/3/4 ... ) は駄目だぞ

757 :
raspi 用 spi LCD で 125MHz クロックで動作するものがあるんだが、試しに自分で設計してみると難点がある。
spi - 16bit パラレル変換をやってるのだが、データが確定してから L_WR の立ち上がりまで 4ns しかなく LCD の 仕様(10ns>)を満たせない。
ターゲットは多分 XC9536XL 。実機でどうやっているのか?どうすべきなのか?気になってる。
1) そんなの無視
2) L_WR の後段に RC を入れて遅延させる。
3) ダミークロックを入れる仕様にして L_WR を遅延させるFF を入れる。
  reg [3:0] r_cnt;
  reg [14:0] r_sft;
  reg [15:0] r_out;
  assign L_WR = ^r_cnt[3];
  assign D = r_out;
  always @(negedge P_SCK, posedge P_CE0)
  begin
    if (P_CE0) begin
        r_cnt <= 0;
    end else begin
        r_cnt <= r_cnt +1;
    end
  end
  always @(posedge P_SCK)
  begin
    r_sft[13:0] <= r_sft[14:1];
    r_sft[14] <= P_MOSI;
    if (r_cnt == 15) begin
        r_out[14:0] <= r_sft[14:0];
        r_out[15] <= P_MOSI;
    end
  end

758 :
さんきゅ。ようやく理解した
SRAMのセル上でどのようにデータが配置されていようが外部から見た時の差はないのだから
どう繋いでもかまわないということか

759 :
>>757
2

760 :
>>757
r_cntが立ち上がり立ち下がり両エッジになっておりうまくいかない

761 :
>>757
  reg [3:0] r_cnt;
  reg [14:0] r_sft;
  reg [15:0] r_out;
  assign L_WR = ^r_cnt[3]; ←この出力クロックで叩いてないよねバカなの?死ぬの
  assign D = r_out;
  always @(posedge P_SCK)←こうしろ
  begin
    if (P_CE0) begin
        r_cnt <= 0;
    end else begin
        r_cnt <= r_cnt +1;
    end
  end
  always @(posedge P_SCK)
  begin
    r_sft[13:0] <= r_sft[14:1];
    r_sft[14] <= P_MOSI;
    if (r_cnt == 15) begin
        r_out[14:0] <= r_sft[14:0];
        r_out[15] <= P_MOSI;
    end
  end
あとリセット信号ないから、糞

762 :
>>761
これな、P_CE0 が非同期リセットになってるんだよ。

763 :
>>760
あはは、そういうことか。
RTL 書いたの初めてか?

764 :
assign L_WR = ^r_cnt[3];
コレなんだ、 見たこと無いな
エラーにならなかった?
1Bit幅 に対して、リダクション演算子を使ったらどんな回路を吐き出すんだ?
assign L_WR = r_cnt[3] ^ r_cnt[3]; <- こうなるのか?

765 :
SPIのクロックラインそのままクロックに使うのって普通か?
どんなのが入力されるかわからないから、いつもFPGA内部クロックで叩いてロジックで立ち上がり立ち下がりを見てるんだが

766 :
これさールネサスでSH2設計してた奴の書き方だろ

動くわけないやん

767 :
>>765
それやるならFIFOで載せ替えろ
ノイズ酷いなら、SENT規格で伝送しろ

768 :
> どんなのが入力されるかわからないから、いつもFPGA内部クロックで叩いてロジックで立ち上がり立ち下がりを見てるんだが
遅ければ、それが案パイ、 俺もよく使うけよ
だけど、ここに書いたヤツは、SPI クロック=125MHz と条件付けてるわけだからね
これに、この手の手法を適応したら
最低 2倍の周波数でサンプリング => 250MHz、 更にハーフ・エッジを使うとなると 500MHz 相当
どんなに足掻いても、メットさせられませんよ。

769 :
>>768
単にクロック載せ換えの話で、どの段階で載せ替えるかの話

770 :
hdlab 長谷川さん
「辞めるには一ヶ月あれば辞められるでしょ」
できないとわかると
「技術力無いんですね」
派遣でサイコパス搾取かなとも思いますが
派遣還元金額はここが一番高いんですよね。
自分の目で確かめることをお勧めします。
過去リーマンショック時に色々あったようで、人材流出があったようです

771 :
FPGA で稼ぎたい

772 :
商社でも始めるのか?

773 :
>>772
商社使えん

774 :
雇われでhdl書いても高給取りにはなれない
ただのプログラマーと同じだもん
製品仕様作って、コストと採用部品決めて、実装して、作って売るところまでやれば大儲けできる可能性がある

775 :
幹部のいうことは、XilinxよりIntelの方がまとも

776 :
>>774
プログラマにHDLつくrせれbykn?

777 :
そこで高位合成だぜ!

って言われてたのにね。

778 :
>>777
高位合成といっているのは行為の問題で、ボキュは年収の高い高貴なお嬢様と、好意を抱き行為をしたいのですが、どの会社のFPGAをかえば良いでしょうか

779 :
それはどの会社のFPGAを買ってもあまり変わらんでしょう

780 :
剛性の高い豪勢な高位合成

781 :
Vivado2019.1でZYNQを使った設計をしているのですが
他のプロジェクトで作ったユーザーIPを使う方法って知りませんか

782 :
知りません

783 :
手っ取り早く、アルテラ勉強したいんですけど、
デジキー扱いの DK-DEV-5M570ZN ってチュートリアル
の通りでそのまま動きますか?

トラ技12月号のテストボードを買った見ようと思ったけど、
トラ技の注文サイトで3ヶ月以上待つかもしれないと書いてあって萎えてしまいました。
https://shop.cqpub.co.jp/hanbai/books/I/I000330.html

784 :
ピン配置が違うだろうから初心者だとハマると思う

785 :
言葉足らずだったかも
トラ技の内容をやるのであれば、ピン配置が違うだろうから初心者だとハマると思う

とにかくIntelのFPGAを触りたい、トラ技の内容はどうでもいい、というのであれば
DE0-CVとこの本を買えばすぐ経験できると思う

FPGAボードで学ぶ 組込みシステム開発入門[Intel FPGA編]

786 :
>>781
UG1118に、画像つきで詳細が書いてある
ug1118 2019 とかでググれば出てくる

787 :
>>786
ありがとう

788 :
唐突だけど、だれかZynqberryに手出した人いる?

Zynq使いたいけど、ラズパイの感覚で使いたい/ラズパイにつながるハードを繋げたいって時には
最適だと思うんだけど、どうよ?

789 :
唐突だけど、だれかFPGAカンファレンス手出した人いる?
http://www.fpga.or.jp/

正直胡散臭い印象あるけど、どうよ?

790 :
ちょっと冒険して、Zynqberryのスターターパックをポチって見た。
ムネアツ?

791 :
FPGAカンファレンスって、FPGAブームに反して年々規模縮小してるよな
6都市開催してた頃はうちの会社も行ってたんだが

792 :
>>788
二年前ぐらいに安いZynqボードとして買ったけど当時の記憶ではラズパイみたいに至れり尽くせりではないのでサクッとはいかんかったね
自分でpetalinuxとか設定できるならいいんじゃない?

793 :
BRAMをXPMで記述してインテグレーターでadd moduleでRTLを置くとインプリは問題ないのに
bit生成でBRAMの初期化ファイルが読めませんってクリティカルワーニングが出るんだけどなんで

使用Vivado2019.1
言語VHDL

794 :
vhdlで初期化付きで書いて推論さては

795 :
BRAMをIPにしたらBMMのクリティカルワーニングが出た
対処法はxilinxのサイトに書いてあった
XPMのクリティカルワーニングはわからないので使うのやめたと設計者が言ってた
設計者がIP使うの嫌ってXPMにした初期値がなぜ必要なのか理解できん

796 :
CycloneってStratixの型落ち品なんだな

797 :
実機評価でZynqを使ったDDR3の評価のやり方を知っている方いませんか?

798 :
評価って?

799 :
>>797
全番地にインクリメントデータみたいなのをライトして
その後リードバックして一致したらokでいいんじゃ?

800 :
U-boot移植されて無いんだっけ?

801 :
クロックジッター何psまで大丈夫とかやるんだろ?

802 :
自信が無いのなら、厳しい試験をやらないとな
そうじゃないと痛い目に逢う

接続されたストレージが汚染されて、気が付いたときはもう手遅れ。

803 :
TDR見るとか? 温度と電源電圧振って見るとか

804 :
TDR って、Tokyo Disney Resort のこと?

805 :
バイクだろ

806 :
Xilinxのサムローガン あれアホや

807 :
UKCシステムエンジニアリング
https://mao.2ch.sc/test/read.cgi/haken/1577527665/

808 :
住友重機械工業
はリクエーが採用代行してて、年齢条件と転職回数制限が酷い
一時期はFPGAできて3Dできる人募集してた。
無条件で職務経歴書受かるのに断ってきやがって酷い

809 :
こんな制限つけるから転職市場がすっかすかなんだよ

810 :
なんか、ことごとく派遣を使い古してきたブラック企業が募集しまくっていて笑える 日立とか

811 :
>>810

一カ月前に派遣解除通告をして5日前に再雇用を繰り返す日立ICSに人が回らない活動すればいいかなニヤニヤ

812 :
日立ICS 戸塚は、ソニーLSIへの派遣なので、こっちの会社の方がましかもな


UKCシステムエンジニアリング
https://mao.2ch.sc/test/read.cgi/haken/1577527665/

813 :
派遣スレかyo
技術以外のことは他でやってくれ

814 :
>>812
FPGA転職スレ立てて来いよ

815 :
>>814
FPGA転職ナビまだー

816 :
プライムシステムズ、USB3.0対応の小型FPGAボード「SX-Card7」を発表 | fabcross
https://fabcross.jp/news/2019/20191223_primesys.html

817 :
中国バイドゥの自動バレーパーキング、GPUをFPGAに置き換えて量産対応
https://tech.nikkeibp.co.jp/atcl/nxt/news/18/06787/

818 :
ふっ、そのくらい中国なら朝飯前だ

819 :
FPGAは滅多につかうことがないので忘れるな。w
FPGAを使うような仕事はかなり大規模になるからめったにない。それに殆どがマイコンで
できてしまうからな。

820 :
マイコンとは速度が全く違うし速いのはメリットだけど
回路規模とか電源の面倒臭さとかconfigとかコスパとか
CPLDで良いだろってなる

821 :
むしろ最近は規模に関係なく
FPGAを載せた基板ばかり作っているが?

822 :
やることが違えば使うデバイスも違う、ということですね。

823 :
>>819と>>821は違う仕事をしてるってことだね

824 :
つかマイコンで足りる物をFPGAで作る理由ってなくね?

825 :
>>824
マイコンだけで作る方が良いものをFPGAで作る理由はなくて、
FPGAを使うことにメリットがあるものを作るときにFPGAを使うということだと思うよ。
なんか当たり前すぎることでつらい。

826 :
FPGAを使うことにメリットがあるものってFPGAじゃないと作れないものじゃ

827 :
>>826
たとえば、超絶テクニックでCPUで実装することもできなくはないけど
メンテとか変更対応とかを考えたらFPGAの方が楽、ってことはあるし。
SoCを使う人だと、もっとFPGAを積極的に使う理由があるはず。
俺の現役のときにはそういう機会はなかったけど。

828 :
(そんなにあるかなぁ…)

829 :
超絶テクニックってどのくらいを言うんだろうね。今のご時世アセンブラ書いているだけで変人扱いされたりするし
基本的に電力効率や値段ではマイコンに勝てないのだから、それらを許容出来るアプリケーションである必要があると思うが

830 :
>>828
もし、マイコンと同じだけの開発案件があるなら、もっといろいろなメーカーが
参入してそうですよ。

そんなにあるかなあ、って量的なことが問題なんですか?
わずかな分野、案件であっても、あるところはあるし、マイコンでは代替が効きにくいことがある、
ってことで良い話なんじゃないですかね。

継続して請け負ってやっているところには、継続して話がくるし、そうでもないところには
案件として持ち込まれることも稀になるということはあるかもしれません。

831 :
CPUでループするようなやつはFPGAに向いてないんじゃないの

832 :
大抵の場合、cpuで済ませた方が安いし

833 :
CPUで出来てFPGAで出来ないことはほとんど無い。逆は山ほどある

834 :
そりゃ、i7 実装してもいいけどさ・・・

835 :
>>833
あなたも
「CPUで出来てFPGAで出来ないことは無い」
と考えていないわけだし、FPGAでないとできないことがあることは認めてるわけだよね。
そういう認識でいいんじゃないですか?
どちらが多くても少なくても必要なところに必要なものが使われるわけだし。
量的なことに意味があるわけじゃないよね?

836 :
要は持ち駒を適材適所に置けるか
ってこと

837 :
>>833
>CPUで出来てFPGAで出来ないことはほとんど無い
そのような製品の開発や販売はよほどの特殊品でもない限り無理だろう

838 :
今どき、CPU なんて使うのかね?

839 :
君がその書き込みをしたデバイスはCPUを使ってないの?

840 :
>>837
「そのような製品」がどんな製品をさすのかイマイチわからないので説明してほしい。
>>838
わざとばかを演じなくてもいいのでは?

841 :
マイコンで出来ることをマイコンで実装した製品とマイコンで出来ることをFPGAで実装した製品がある
値段は当然マイコン<FPGA。後者が生き残れる可能性などなきに等しい

842 :
10円で作れるものを10円で作ったものと、
10円で作れるものを100円で作ったものとがある、
当然10円で作ったものの方が安い。
ってなんでこんな当たり前の話を繰り返すのだろう。

843 :
>>839
SoC かな。

844 :
>>842
それ>>827に言ってよ

845 :
>>844
えーっとわからないならちゃんと書きます。
>>842は>>841に対して言ってるよ。

846 :
より安価な選択肢があるのに単に楽だからなんて理由で高価なFPGAを
使うなんて普通無理だしよほどコスト意識が希薄なのだろう
というのが自分の主張です

847 :
また、ルネサス退職者か

848 :
何でそうなるんだよw

849 :
>>846
>>841に戻るけれど、
>マイコンで出来ることをマイコンで実装した製品とマイコンで出来ることをFPGAで実装した製品がある
>値段は当然マイコン<FPGA。
ここまでは、>>842に書いた通りで、ほぼほぼ当たり前の話でいいと思うんだ。
>後者が生き残れる可能性などなきに等しい
「後者」が、「CPUで容易に実装できるものにFPGAを使う行為」を指すなら同意。
でも「後者」が「FPGA」を指すとしたら飛躍がある。
絵の「A」は99.9%の人がFPGAがなくてもCPUでできると考える領分。
「B」は99.9%の人がFPGAを使わないとできないと考える領分。
誤解の無いように書くけれど、量的な話は別だよ。
例えば、Aの領分の仕事の案件が1000でBの案件が1でもいいんだ。
あなたが>>841で書いたのは「1〜3」のあたりの話だよね。
少なくとも「5」のあたりなら、必要とされるデバイスが必要とされるわけだし、「1〜2」の知見で
「5」の需要がなくなると考えるのは無理がある。
絵もみてね。
sssp://o.2ch.sc/1lsyy.png

850 :
>>846
コスト意識といっても、1個何万円もするようなデバイスが使われる機器だと
製造数量も少ない一方で売価もとても高価なものだったりします。
安くぎりぎりに作ることよりも、市場投入の時期を優先するとか、性能第一だとか
様々な別の要求が優先されることも少なくありません。

851 :
そもそもマイコンを使えば安くできるってのは時代遅れの考え
結局マイコン使うと周辺にIC何個も載せるハメになるから
それならFPGAワンチップで処理した方が安い

852 :
CPU+周辺も載ってるICがあるからそれでいんじゃね

853 :
>>846
お小遣いで足りないならバイトして買おうぜ。

854 :
>>851
FPGA なら周辺に何も要らないような言い方だな。

855 :
直張で失礼します
https://www.eda-express.com/2018/08/fpga572393.html

856 :
適材適所と合理性って話でしかないのになんで不毛な議論してるんだか

857 :
他に話すネタがない寂しい人たちなのさ

858 :
出たな、適材適所主義者

859 :
販売されている以上、用途が存在する、と考えるのが自然。

860 :
>>849
USBキーボードマウスが出た頃はまだPS/2も(本体PC側に)残ってたけど
最近のPCにはPS/2付かなくなったのを思い出した

861 :
年間:5〜6千億円 程度の実績があって、しかも伸びてるわけだ
どんな屁理屈付けようが、 事実を前しては通用しない

862 :
量的な話もそれなりに重要だと個人的には思うが、まあ、量的な話を無視して仕事できる人達もそれなりに存在するものな

863 :
>>860
HP の企業向けのには付いてる。

864 :
もちろん量が見込めなければ淘汰される訳だが
需要があれば残るって話で量的な問題ではない

865 :
需要が一定の「量」あれば残るね

866 :
xilinxにアルテラみたいな激安書き込み機ってある?
中華ブラスター、PICブラスター的な

867 :
あるよ。

868 :
OpenOCD は SVF 書き込みに対応してるんじゃないの?
だったら対応してるアダプタでOKみたいな気がするが。

869 :
純正ソフトで使えるplatformusbぱちもん3000円くらい

870 :
>>868
なるほど
でもそのやり方だとデバッグ機能は使えないよな?
>>869
それは俺も気になってる
しかしパチモンにしては高いうえに微妙に評判が悪い?

871 :
まあ、一つは純正品を買うべきだな。

872 :
https://shop.trenz-electronic.de/en/TE0790-03-XMOD-FTDI-JTAG-Adapter-Xilinx-compatible
これがおすすめ

873 :
Spartan7 の196PIN BGAパッケージのデバイスで、フルHDの画像(フレームバッファ)をHDMIで出力したいと考えています。
フレームバッファの画像データは電源投入直後に1回書き込み、その後は同じ画像を表示し続けるだけです。
フレームバッファは外付けDDR3-DRAMを使おうと思いましたが、デバイスが小さいため8bit幅のものしかつけえません。
MIGで16BITの選択が不能。あまり経験がなくフルHDの表示が可能か(DRAMの読み出しが間に合うか)心配です。

874 :
DDR3-DRAMには、1ピクセルあたり(R・G・B 各8bit) で 24bitのデータが格納されます。

875 :
tang nanoが無性に欲しくなってきた
規模が小さいけどCPLDの置き換えに便利そう
digikeyで扱ってるらしいから大量購入しようかしら?

876 :
>>873
その計算ができない人にFPGAで設計ができるとは思えないんだが

877 :
>>875
tang nano 安いな。Mouser で \653 か。これで オンボード USB JTAG が付いたうえで PSRAM 付きとか。
送料無料になる額まで大人買いしたらどうだ。役に立たなくとも別に痛手ではなかろう。
在庫がなくなったらリードタイム半端ないぞ。間に合わなくなっても知らんぞ。

878 :
>>873
VivadoシミュレーターはDRAMコントローラーもよく動くから試してみるのもいい
DDR3のシミューレーションモデルはDRAMメーカーの配布物もちょっと直せば動く
画像1ライン分ぐらいなら数分でやってくれるだろう

879 :
>>877
seeed直販だと4.9ドルだな
時間はかかるし、送料で10ドルとられるらしいけど

880 :
864個のFFで何ができる?たとえば

881 :
>>875
合成ツールがSynplify Proとかマジか・・・

882 :
Latticeもそうだけど

883 :
>>880
864ビットシフトレジスタ

884 :
>>873
S7にddr3-800を8bit幅で接続すると、ピーク帯域は800MB/s、実帯域は半分と見ると400MB/s
フルHDがYUV422の1080/59iだとすると、読み出し1方向のみなら150MB/sなので楽勝で間に合う
RGB444でやっても225MB/sなので間に合う
1080/59pだと2倍の帯域が必要なので、RGB444は苦しくなるけど、頑張ったらできるかも
hdmiのエンコードをfpgaでやる方が難しい
tmdsしゃべらせて、映ればokレベルなら、頑張ればなんとかなるかも

885 :
VRAM調停が大変そう

886 :
>>885
最初に一回だけ書き込んで、あとは読み出しだけ、とあるよ
>>884
どうして 59i 59p ?

887 :
>>886
59i,59pはビデオ業界の慣用表現
正確には59.94iと59.94pだけど、長いからこう書く
60p,60iとの違いは、ピクセルクロックの周波数が74.25Mか、74.1758Mか
ntscのフィールド周期が59.94iだったことに由来する値
白黒のときは60iで良かったんだけどね

888 :
XilinxだとだいたいAXIでつなぐことになると思うから、マルチマスタでもAXIインターコネクト介せば調停回路は作らなくても大丈夫。
正確には59.94fpsだね。丸めずに59fpsって呼ぶのは、ちゃんとした60.00fpsと区別するためなんだと思う。
Windowsのモニタ設定にも59fpsと60fpsがあるね。

889 :
>>887
アナログ時代から家電メーカーで映像機器の設計開発をやってて
数年前に退職した身たけど、59i 59p なんて省略形は見たことないよ。
普通は書くならちゃんと59.94と書く。
あるいは暗黙の了解で、仮に60と書いてあっても
文脈で判断してPC関係でなければ頭の中では59.94と理解する
「り」とか「マ?」とか、今は何でもかんでも省略というか横着しすぎだなw

890 :
> 1080/59pだと2倍の帯域が必要なので、RGB444は苦しくなるけど、頑張ったらできるかも
>>874 に、 条件が書いてあるが・・・
質問ヌシが書いてる内容を見る限り、ビデオ信号に関する知識は無さそう
単に、”FULL HDのbmpイメージがある、それを表示したい”、 それだけだね
なら、インターレースの概念など持ち合わせていないだろから、60P を想定だろ
これだと、メモリ帯域だけじゃなく、最終段の SER/DESも GHzを超える(1.5GHz程度)
DotClk も150MHzで, これも制約無しじゃ厳しいだろ
実際、60P でやってるヤツは見た事はあるけど、それは 当然それなりのレベルの人での話し
聞いてるヌシでは無理だと思うな。

891 :
昔業務用ビデオやってたけど59iなんて表現見たことないよ。

892 :
静止画ならインターレースで十分では?

893 :
sonyとかパナの現行機器の説明書で普通に使われてる>59p,59i
年寄りだからか、民生機しかやってないから知らないだけ
経験あるつもりかもしれないけど、知識のアップデート怠ったらただの老害

894 :
847です。
反応が遅くなりすいません。
>>876
すいません。たまにしかFPGAを使わず あまり詳しくなく・・・・
>>878
ありがとうございます。試してみます。
>>884
おぉ、この情報は助かります。
DRAMへの書き込みは電源投入直後の1回だけで、その後は読み出しだけです。
(画像固定のフォトフレームみたいないものです)
チャレンジしてみます。
>>890
はい、そうです。60Pです。
SER/DESはドットクロックの10倍で1.5GHzで動かすことを想定しています・・・・
10年くらい前にフルHDではないのですが、Spartan3A や Virtex5 で同じようなことを
やった経験がありますが、デタラメに作っただけなので基本的な知識にかけています。
いま、まずはXilinx のXAPP495 (Spartan6向け) を Spartan7用に変更している最中です。
ようやく配置・配線でエラーがなくなりましたが期待通りに画像が映るかどうか・・・・
あぁ、どうしよう・・・

895 :
s7のoserdesは、スピードグレード2でも最大1250Mb/sなので、1080/60pは無理
ds189参照
xapp495も1080/60iでrgb444までしか対応してないので、60pやりたければ自分で拡張しないと出せない
でも60iでよければ、すぐ出せるでしょ

896 :
あらら、経験は有ったのか
なら、最初からそういって欲しかったな
まずメモリ帯域が怪しい、この手のブラック・ボックス コントローラは実
際にシュミレーションしてみないと判らない。
(上で、誰かが指摘してるように、
  > ピーク帯域は800MB/s、実帯域は半分と見ると400MB/s
 オレ自分で確認するつもりはないけど、遠からずって処だろ)
次にTMDS_33、此方もスペック・オーバー(意外と遅い、恐らく需要が少ないんだろうが)
ただし此方は実力的には動くと思う、まぁ趣味の範疇だろうから動けばOkて事で大丈夫だろ
推測の根拠は、Sparutan6 での動作報告を見たことがある(但し、オーバース・ペックと注意書きは添えてあった)
以上は、パラッとデータ・シートを捲っただけだから、真偽の程は自身で確認してくれ
Spartan-7 FPGAs Data Sheet: DC and AC Switching Characteristics
ds189-spartan-7-data-sheet.pdf
Greade ? -2 -1
TMDS_33 0.81 0.88 (ns)

897 :
>>893
老害でおおいに結構!
円周率は3だと今でも言い張るゆとり君や、
59.94i を 59i と書くことに何の疑問や抵抗のない
あなたのような人になるよりはずっといい

898 :
>>895
>>896
コメントありがとうございます。
Spartan7のスペック外ですが、まずは勉強をかねて1080Pで試してみようと思います。
無理であれば、720Pで進めたいと思います。
結果が出たら報告したいと思います。

899 :
>>897
で、59iや59pという表記が使われてるかどうか、調べましたか?
別に私が俺ルールで始めた記載じゃないんです。
20年以上ビデオで飯食ってるから、若者でもない。
流れには逆らえないし、こっちの方が便利なこともあるので。

900 :
入力FIFOを3ライン分位用意して書き込むよろし
出力も同様で
たぶんそんな感じで XilinxのDDRコントローラのIPのバーストの係数で、シーケンスマシン組めばいいと思われ

901 :
なんかたまにずれた発言するやついたけど
やっぱ老害が紛れ込んでいたんだな

902 :
24pじゃだめなんか

903 :
3Pしたい

904 :
とりあえずカラーバーだな

905 :
cyclone系とMAX10の大きな差ってなんですか?
フラッシュの有無は理解できますが

906 :
FPGA CPLD

907 :
規模とピンアサインと速度がフィットしちまえばあまり関係ないか
規模はcpldが小さいがな

908 :
MAX 10は、LE=50K まで有るからな
規模の視点で見るなら、もはや CPLD のイメージは無いよ
一世代前の MAX5までは、最大でも LE=2.2K 程度だったから、文字通り桁が違う
メーカ自身の表記でも、MAX10からは FPGA に変わった。 (MAX5まではCPLDの表記)

909 :
サイクロンよりもMAX10の方が早いよ

910 :
何が早いの?
MAX10はちょっと古いプロセスだよね。

911 :
cyclone、 無印 2/3/4/5 飛んで 10、 と種々あるからな
仮に比べるなら、同時期の物同士での比較妥当だろう
その条件なら >>910の言う通りで、速いのは cyclone の方

912 :
>>911
cyclone10もGXとLPでかなり違うけど
なぜ同じシリーズなんだろう。

913 :
同じ "10" でも
"MAX 10" の方はAlteraの時で、"Cyclone 10" は Intel に変わってから。
全く別のプロセスを使ってるのに同じ名称とか
PCで培った お得意の リネーム・テクノロジ を思わせるような名称の付け方だよな
インテルに変わってから、すっかり魅力が失せた
このスレでも同意見が散見される、そう感じてるのはオレだけじゃ無いよ

914 :
FPGA と CPLD の大きな差ってなんですか?

915 :
>>914
今や一番の違いは名称

916 :
CPLDと言っとけば何となく手軽なイメージになるよ!なるよ!

917 :
intelになってからまったく使わなくなった
xilinxが攻めた機能を搭載してきてるのがうちのニーズに合致しているのもあるけど

918 :
>>914
小規模FPGAでFlash 搭載のチップを CPLD ということがあるが、本来は別物。
マクロセルを使うのが CPLD もしくは PLD 。

919 :
Max10てもう古いのか? 滅多にFPGAつかわないので使うたびにかわる。
Max10使いやすいのにな。今回これで基板おこすつもりで設計完了したんだが
チップ変えた方がいいんだろうか? BGAは使いたくないしなー。

920 :
古かろうが自分で使うのに十分ならそれで良かろう。

921 :
Max 10 どころか、自分は Cyclone 2 で十分だと思ってる。

922 :
GALで十分よ

923 :
GALってもう書き込めないんじゃ

924 :
いくらでもあるが tl887とか

925 :
>>918
MAX10はMAXシリーズだからマクロセルを使ってると勘違いしてたわ
新ブランドにすればいいのに

926 :
>>921
古いデバイスは高いよ。ビット単価でひかくするとね。

927 :
以前に Spartan7 のフルHD画像の表示について質問した 847 です。
ようやく XAPP495(Spartan6向け) が Spartan7 で動作しカラーパターンが表示する
ようになりました。
この先の実装は以下の構成で考えているのですが、本当にこれが最適解なのか???な状態です。
DDR3-SDRAM --- MIG --- AXI Interconnect --- 自作IP(HDM(DVI)I出力)
電源投入後のDRAMへの書き込みは MicroBlazeから行うつもりです。
自作IPをつくるべきか(AXI Stream ?)、Vivadoに付属の既存のIPを使うべきなのか、やり方がいろいろありそうで、
むずかしいです・・・・

928 :
使用可能なIPがあるなら使ったほうが良いかと。
自分がよくやるのは、AXI VDMAを使ってDDR MIGから画像を引き出してAXI4Streamにして、AXI4 stream Video OutとVideo Timing
GeneratorでSyncつきパラレルビデオ信号にしてしまう方法。
今は無料でC++高位合成もできるからそちらに挑戦するのも楽しい

929 :
そもそものキーポイントは、1080P / 720P だったわけだからな
そこはどうだったのよ

930 :
インプリでseed値の設定ってどうやりましたっけ

931 :
>>914
昔のアルテラの資料にはピンからピンの時間が一定なのがPLD、
回路により可変なのがFPGAと張ったがMAX10はマクロセルじゃないんで
一定にならないよな

932 :
>>928
ありがとうございます。
とても参考になります。
AXI-VDMA を試したいと思います。
>>929
すいません、まだ1080Pは試せていません・・・

933 :
記念
新型コロナウィルスでAMD CPUシェア拡大にブレーキか
https://pc.watch.impress.co.jp/docs/news/1233710.html

934 :
> 【新型肺炎】中国、深セン市(人口1302万人)も封鎖

最後にポチったのが去年の11月だったが、 こうなると人事じゃないな

935 :
>>934
不正確な情報(あるいはデマの種)の流布。

936 :
55都市が封鎖といってたな。

937 :
EFINIXが新たに加わりました

938 :
マウザーで値段見てたらSILEGOのPLDだかアナログASICだかの混合したICがあったんだけど安いし面白そう。なんでもできそうだけどデバイスの規模が小さいんで使いこなしが難しいそう

939 :
https://qmtechchina.aliexpress.com/store/group/Xilinx-FPGA/4486047_1000000430291.html
送料入れてもZynqが7千とかXC7A100Tでも1万切ってるので欲しくなったけど、今買って届くのか?
最近のAliって、なんかアクセス遅いけど、ネットも戒厳令状態?

940 :
http://www.gowinsemi.com.cn/
もうここらに対抗できる日本メーカってないんだな
ルネとかオリジナルCPUじゃどーしよーもなくなってARMビジネスに乗り出した者の全然うまくいってなさそうだし、
このままじり貧じゃねーの?

941 :
>>940
そんなの20年前にわかってたこと上で
ずっとボコボコにされてんだよ
半導体は経営能力が高くないとだめだから日本企業には無理
官にこびへつらって人月売るという経営力がゴミでもできることにシフトしとる

942 :
何時 発送出来るか判らないのでキャンセルしてくれ だってさ
良心的な店だな、半分は音沙汰すら無し。

943 :
>音沙汰無し

担当者死んでるんじゃないか?gkbr

944 :
コスパのいいfpgaってなに?
安くてデカイのを使いたい

945 :
aliとかで2000円くらいのsp6ボード売ってるsdram付きのやつ
sp6だからiseだけど

946 :
安くデカいw
ないな
安くて遅いならある

947 :
デカいってのは208pin QFP とかかな。

948 :
ナニかがでかいブツを探してるんだろうねー

949 :
4000円で25kLEのCYC1000とか?

950 :
初心者です。
FPGAで行列の乗算をできるだけ早く実行したいと思います。
Xilinx, Intel どちらを使っても大した差はないのかなぁと思っているのですがどうでしょうか。

951 :
大差ない

952 :
GPUでやろうぜ
(浮動小数点数とかなら)

953 :
コスパはGPUピーク性能はFPGA
かな

954 :
>>951
ありがとうございます。了解しました。
>>952
すいません、整数だけを扱います。
>>953
そうなんですね。
初心者だけに疑問があって、いまネットで調べているのですが
・乗算用のハードマクロが用意されていてそれを使うことになるのか?Xilinxの場合はDSP48?
・単純な掛け算(たとえば10?10など)が完了するまで何クロックかかるのか?
など手探り状態です。

955 :
「10?10」 は間違いで 正しくは「10 X 10」 です。

956 :
こういうアルゴリズムの検証はsystem gen xilinx とかがいいのかな
matlab とかで検証してfpgaに実装

957 :
10x10とかいまどきのCPUなら1クロックでそ

958 :
LDA #10
LDB #10
MUL
STD dest

959 :
10*10みたいな大した量じゃないなら
メモリに入れてしまうという手もある

960 :
vivadoなら乗算 * で書けば勝手にDSP48に置き換えてインプリしてくれる
単純な掛け算ならワンクロックで十分に速度出る
bit幅がでかくてカスケードする場合は自分でDSP48置いたほうが確実

961 :
まあ、10*10 ならコンパイラが何とかしてくれるけどね。

962 :
シーケンサ組まんと行列計算できんだろ
それともそこまで高位合成できんの?今どきって

963 :
もしかして10行10列のことを言ってたのか?

964 :
>>962
高位合成はもう十分使われてるよ
cで書いたディープラーニングを合成して
行列計算しまくりやで

965 :
レイテンシと規模を推定考慮できるまでの経験積むのが大変

966 :
どうすれば質の高い経験が積めるのか調査するのも大変

967 :
>>964
Cで行列計算書くならverilogでも変わらないよね。

968 :
パイプライン化して回さないといくら乗算器があっても足りないぞ

969 :
>>968
乗算器が大量にあれば出来るでしょ。
大量にあれば。

970 :
BGAのハンダボールに一本ずつポリウレタン線を植え込んで基板に実装
という夢を見た。

971 :
> 大量にあれば。
大量の乗算器を並列に動かすように工夫しないと、全然性能が出ない

なんせ昨今のCPUに比べ
配線遅延も含めての 実用的な乗算器の速度は、 1/10 程度だからな
100倍の数の乗算器を並列に回して、やっと10倍の速度。 こんな感じだ

単一乗算器をパイプラインで回す とかやってたら、安物のRaspiのSIMD にさえも負けてしまう
わざわざFPGAでやる意味が全く無い

972 :
うん、個人じゃとてもとても手がでない。
GPUのほうがいい

973 :
>>970
追い込まれてるなω
ちょっと休め

974 :
>>970
これかな
http://www.chiaki.cc/Pyxis2010/images/pyxis2010-fpgasol2.jpg

975 :
いや、多分こんな感じじゃね?
https://www.array-net.com/upfiles/2013/02/3.jpg

976 :
>>975
電気的につながってても機能しなさそう

977 :
幸か不幸か夢オチだからねw

978 :
積和をマクロ化してforで並列化すりゃ記述自体は簡単にできるでしょ
fmaxがどれだけ取れるか別にして
行列演算に特化するなら(人工知能ではない)AIエンジンを積んだVersalを使うのが簡単な気がする

979 :
昔BGAのFPGAをひっくり返して手ハンダ実装やっている記事を見た記憶があるんだがググっても出てこねぇ

980 :
>>979
>>974

981 :
https://www.kei-all.co.jp/img/bga/jumper_wire_title.jpg

982 :
いくらかショートしそう

983 :
>> ズラと呼んでいた。

984 :
今なら海外で基板作ってホットプレートかな
FPGAに限らず表面実装やBGAが増えて電子工作も冬の時代だな
実装費がもう少し下がって個人でも実装済み基板を発注するのが当たり前になれば再興するかも?

985 :
実装もなかり安いよ。

986 :
335 名前:名無しさん@そうだ登録へいこう [sage] :2020/03/09(月) 05:21:17.47 ID:2c2fiQBT0
>>357
ルネサス二重派遣の 月単価75 時給1100円 見込み残魚53 日立JTE→シーデックス より好待遇やん

987 :
ラズパイやなんとかジャムのおかげで、高専レベルの教育では電子工作やりやすいです

988 :
ええことやけどなんでこのスレ

989 :
ラズパイ・ジャムのおかげで、FPGAを使った電子工作をする学生さんは皆無になりつつあります…

990 :
ラズパイ等で電子工作の人口は増えたけど電子工作が目的化している人も増えた感がある
技術は道具なんだから不満を解決し便利にするのが本来の使い方のはず

991 :
汎用CPUでできるならそのほうがいいってバッチャがいってた

992 :
>>990
むしろ最近のアート系の人たちのほうが手段として使ってるだろ。
我々は工作が目的化している。

993 :
RasPiもArduinoも教育用だし関連するエンジニアの育成および産業の振興が最終目的では
使うまでだと片手落ちじゃね

994 :
罪から一律15%値上げ通知
もう、使うのに音を上げた。

995 :
じゃXCVU47P-3FSVH2892Eは1350万円から1550万円になるのか

996 :
latticeに逃げるか

997 :
円高なのに値上げ?

998 :
リストプライスと為替は近々に関係ないだろ

999 :
そういや数ヶ月前にインテルも古めの
デバイスは値上げするって代理店から聞いたな

1000 :
>>995
今のうちに買っておいて、後で少し安く売れば、ちょっと利益出るかも?

1001 :
>>1000
このFPGAを買う層は、そんな怪しい所からは調達しないだろ

1002 :
>>1001
使う奴は商社から調達するだろうけど、商社は品物が確保できないと他の商社から仕入れて、そこが変なところから仕入れることがあるよ

1003 :
1万円超えるFPGAなんて使ったことないわ

1004 :
デマだな。

本当は25%うぷなのよ

タヒってくる (ノω・、) ウゥ・・

1005 :
大丈夫、FPGAなんて買えなくても生きていけるさ

1006 :
FPGAが流行らないのはツールが難しすぎるからじゃない?
設計するまではもちろん楽しい。
HDLはかなりめんどくさいがそれでも何とか慣れればまあそこそこ楽しい。
ところがデバッグになるととっても難しい。なんで真っ赤になるの?
絶対に動く回路がなんで信号がでてこないの?
modelsimの使い方を理解するのに、いったいどれだけ時間をつかったか?
それでも毎回わすれて一から勉強しなおしだ。modelsimをみるだけで気分が沈む。

1007 :
ASICじゃないんだから失敗してもええんやで

1008 :
それは FPGA 以前の問題だろ。

1009 :
>それは FPGA 以前の問題だろ。
いやいやFPGAが以前なんだろ。進みすぎてるから困る。w

1010 :
>>1006
Modelsimで真っ赤って意図せずX(不定)になってるってこと? だとしたら回路が悪いんだろうな
単純なシミュレーションするだけなら面倒ではあるが難しくはないだろう
FPGAのソフトは難しいとは思わないが面倒くさいとは思う

1011 :
ウィルスの検査装置って、仕組み自体がわかりませんが
FPGA 使ってるんでしょうか?

1012 :
どれだけ涙を流せば
紅に染まったこの俺を
せつなく時間を埋め尽くす

1013 :
restart run しか使わん

1014 :
>>1010
インストールで20GB以上もダウンロードするし、コンパイル等で1分かかるからな
一見さんは寄り付かない

1015 :
>>1010
毎日使ってる人はあまり気にならないと思うが、三年に年に一回くらいしか使わないレベルだと無茶苦茶難しいよ。
今回は三年後のデバッグのために、「モデルシム使い方完全説明」というのをまず書いた。w
三日くらい使うとだんだん慣れてくるが、毎度最初は気が狂いそうだ。

1016 :
なんかおかしいと思ってたら、テストベンチはシーケンシャルなのね。
verilogはコンカレントなので、そのつもりで立ち上がりエッジをつくってるのに
どうしてもエッジができないので悩んでた。w
always @(posedge clk)
begin
dfre2[0] = !dfre2[0] & sigin;
dfre2[1] = dfre2[0];
dfre2[2] = dfre2[1];
pls = dfre2[2];
end
周期信号の立ち上がりエッジで1クロック幅のパルスを作りたいのだが、どうしたらいいんだ?
これでいいんか? これに気が付くのに半日かかった。w
always @(posedge sigin)
begin
#DELAY;//3n delay?
pls = 1;
#CYC;
pls = 0;
end

1017 :
>>1014
VisualStudio のことかな?

1018 :
>>1017
バカ言えw
初心者が最初に試す「hello world」を一瞬でコンパイルして実行できるだろ

1019 :
>>1016


always @(posedge clk)
begin
dfre2[3:0] <= {dfre2[2:0],(!dfre2[0] & sigin)};
end

assign pls = dfre2[3];

1020 :
>>1016
reg sigin_d1;
wire risingedge_sigin;
always @(posedge clk) begin
sigin_d1 <= sigin:
end
assign risingedge_sigin = ( (sigin_d1==1'b0) & (sigin==1'b1) ) ? 1'b1 :1'b0;

1021 :
assign risingedge_sigin = ( (sigin_d1==1'b0) & (sigin==1'b1) );
これじゃまずいのか?

1022 :
普通に↓だろ
assign risingedge_sigin = sigin & ~sigin_d1;

バカ比べ でもやってんのか?

1023 :
どうせコンパイラが最適化してくれるよ

1024 :
>>1022
そやな。w お前が正しい。
くそっ、釣りにひっかったか?

>>1023
そのコンパイラの最適化が信頼できないから、いろいろ書き方を考えてしまう。
まえはワーニングでても全部インテジャでかいてたがモデルシムだと絶対に誤動作するからな。

1025 :
>>1024
それはモデルシムの問題なのか、合成ツールなのか?

1026 :
信頼できないのは、アンタの記述の方
同一人物かは解かりかねるが、
"コンカレント"の記述を間違えてるのは自分の方なのに、
ツールに対して的外れな文句を言ってる。
そんなヤツが、少し上の方に居るよ

1027 :
2ch.scからのレス数が1000に到達しました。

使える100均ショップのグッズin電気電子板 27軒目
【Verilog】 記述言語で論理設計Project15 【VHDL】
電気電子板自治スレ 4
初歩のラジオ・ラジオの製作part11
自営業 悩みごと相談室 51
EMCEMCEMCEMCEMCEMCEMCEMC 2
高周波電源
ARM mbed【IoT】
【回路図】CAD / EDA 総合【PCB】
eBay, AliExpress で電子工作関連のお買い物 9
--------------------
おから49
【J:COMチャンネル】J:COM実況 Part31
マイティボンジャックを語ろうぜ
シンフォギアが脱糞しながら戦う設定だったら
民芸品657
合コン記念に出走する、お前らのコメント
【Juice=Juice】段原瑠々応援スレPart66【だんばらん、るるちゃん、るーちゃん】
寒!サム!ゲレンデ!サム!
新日本海Fを酷評していた犬味噌の受けたブーメランw
銭湯のマナーについて・4
iTunes Cardの割引販売を待つスレ Part42
既婚男性/既婚女性の雑談(双方質問)スレ425
【ヲチ】アニメDVD・BDの売り上げを見守るスレ55
立憲民主党内の総合政局スレッド373
【GITADORA】GuitarFreaksスキル相談スレ10
鹿児島のラジオを語ろう4
【中国ウイルス】トランプ氏の扇動により欧米でヘイトクライム急増 [5/16] [新種のホケモン★]
ドラゴンクエストウォーク212歩目
【ソウマトウ】 シャドーハウス part2
貸金業務取扱主任者 part55
TOP カテ一覧 スレ一覧 100〜終まで 2ch元 削除依頼