TOP カテ一覧 スレ一覧 100〜終まで 2ch元 削除依頼
為替実業家 アステリスクの技術洗脳文
ハードオフのジャンクを語るスレ
パチンコの不正って『簡単』にできるの?
3Dプリンター個人向け@電気・電子板 その58
初心者質問スレ137
【荒らし】電子工作系人物ヲチスレ11【粘着】
3Dプリンター個人向け@電気・電子板 その14
Arduino初心者熱烈大歓迎質問スレ part24
オシロスコープ総合スッドレ! part25
3Dプリンター個人向け@電気・電子板 その55

【Verilog】 記述言語で論理設計Project15 【VHDL】


1 :2017/04/21 〜 最終レス :2020/06/11
HDLの処理系も、それを実際に動かすシミュレータ・評価基板も、
安価で入手できるようになってきました。

このスレが目に入ったお嬢さん! HDLで何か作って遊んでみませんか。
日曜工作のHDL書き、学生さん、プロの方、主婦の方、カキコお待ちしております。
関連情報は >2-10 あたり。

入れ食いキーワード
・Nios、MicroBraze
・Artix-7、Kintex-7、Virtex-7、ハードコアCPU内蔵 Zynq-7000

※前スレ
【Verilog】 記述言語で論理設計Project14 【VHDL】
http://rio2016.2ch.sc/test/read.cgi/denki/1470611688/

2 :
・FPGA
 Xilinx ttp://japan.xilinx.com/
 ALTERA ttp://www.altera.co.jp/
 Lattice ttp://www.latticesemi.co.jp/
 Actel  ttp://www.actel.com/intl/japan/
 QuickLogic ttp://www.quicklogic.com/

・ASICベンダ推奨ツール類 (高価)
 Synopsys ttp://www.synopsys.co.jp/
 Cadence ttp://www.cadence.co.jp/
 Mentor ttp://www.mentorg.co.jp/
 Synplicity ttp://www.synplicity.jp/

・Verilogシミュレーター (無料)
 Icarus Verilog
  ttp://iverilog.icarus.com/
  ttp://ryusai-hp.web.infoseek.co.jp/icarus.htm (解説)
  ttp://www.ice.gunma-ct.ac.jp/~kimsyn/verilog/FreeSim/iverilog/iverilog.html (解説)
 IVI
  ttp://ivi.sourceforge.net/
  ttp://www.kumikomi.net/archives/2005/06/10icarus.php (解説)

・VCDフォーマットの波形表示 (無料)
 GTKWave
  ttp://gtkwave.sourceforge.net/
  ttp://ryusai-hp.web.infoseek.co.jp/gtkwave.htm (解説)

・関連書籍
 STARC ttp://www.starc.jp/
 CQ出版 ttp://www.cqpub.co.jp/
 Interface ttp://interface.cqpub.co.jp/
 Design Wave Magazine (休刊) ttp://www.cqpub.co.jp/dwm/
 ディジタル・デザイン・テクノロジ (旧DWM) ttp://digital-design.cqpub.co.jp/

・解説サイト
 やるおと学ぶ Verilog-HDL ttp://hirokinakaharaoboe.net/yaruo_verilog/

・関連スレ
 【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #24
      http://rio2016.2ch.sc/test/read.cgi/denki/1475205631/
 【EP3】DE0で始めるVerilog HDL【C16】
      http://rio2016.2ch.sc/test/read.cgi/denki/1310362001/

3 :
)())()))))(()((()(((()))((()()))()))))()(((()))(()((()()()())((((()()())(())
))()())(()()(((())(()((((()))((((()(())((()))(((((()()))))(())())))()))())))
((()()()()((()())(((())())(()(()))())()(())))))()(((()()())((())))))(((())()
)(((()))(((()())()(()()(()(())(()()()()()())(()))(())()))()()))())()))(()(((
(())())))((()())())(()))((())()(((((())()()()((()))((()))(()))()()((()))))((
)))((()))()(())((())))((((((()())((())()(()()))())())))()()((())()())(())(((
)(())()(((()()())(((()())))((()((()))()())()())())(()))(()()))))()()((()()((
()()((((()(((()(()))()))()())))())(()()(()((()))(())()()((((())()))))(()))()
)())())))(())(())))(((()(((()((())()(()))(())))(((())((()()))()))(((()))(()(
)())((((()(())(()((()))))())))()))()())()(((()(((())(()))((()()(())()())(())
)()((()(()())()))())((()())))))())()((((()())))()(()(((()())(())())(())(()((
))(())(()((())))(())(()(())((((((((())()()))(())))())((())(()()()())(())()))
()(((((())()())(((((())())((((())(()(())(())((()()))))())))(()()())())()))))
())()(()))))(())))((()(((((()(((())())()))())()((()(())))()(()()(((()))()())
))(((()))(()())(((()((())))((())()((())(()))(((())))))(()())()))(()()()(()()
)())))(())((())((()((((())(())(((())))(())))((()()()()()(()())(())()()(())))
)()()())(((((()))()(())))()))())))()()()))(()(())(())((((((()))(()()((())()(
(((())((()))()))())())()))()())))()(()))())(())))(((((()())(((())(()(()()(((
())))())(())((())((())())()))(()()(()))()())(()(()(())()((())(())())())(((((
))))))((()))(((((((()))))()())())()()(()((()())()))))()(()((())(((((())))(((
)())))))())()))(()())))()(()))()(((((((()((((())()(())(()(()(()))())()(())((
(((()((()(())(()()())((())))(())())))()()()((((())()()()()))(()))())((()()))
())()())(((()(((()())()(())()))(())(()(((())()()())(()((()))())())(())()()))
)(()((()())()(()()(()())()((())())()))((()()())((())((()(())(()(())()())))))
())))((()))(()()())()(()((()(())()()())(())()(((())))(()))((()()((()))())(()
)()))(((()))))))(()((()))((()))()(()()(()(()())(((((()(()(())))()())(((())))
)())((()(()(())))(())()()(()(((()))()((()(((()((()(()))(()))))))))((())())()
))(()()()()(())())))))())())()(()))()((()))(()())(()(()((())((()(()((()(())(
))())((((((())))(((()()()(()))))((((())())(()()))(((()))(()(((())))))(()))()
)()((()()((()()))()(()()()))())((())(())())())(((())((())(()()))(()(()))(())
())(()((()))))()))())()))()(((((()(()((()))()()((()))())(()()((()))()))()(((
)))()(()()((()(()()((()(())()(((())()(()((()))))((()()()((())()())())))())))
))())()()))(())((())()((()()(((())(())()))(()()))()((())(()(())()()(()(()())
)()()))()(())(()))()))(())()())()())(()(())(((((()(()()())(()))(())()((())((
()))(())()()())())())()(()()))(()())))(()(()(())(((()()())())(())(())(()((((
)((())))(()))())(()))))((())(()(()((()()(()()))(((()()))()())()())(()))(((((
((()()))())()))((()((()()((()()))()))())(()))((()))))((((((())))((((()()))()
()()()(())())))()()))())((((()))()()))(()))))()((((()())()((((())()((())()((
))((())(())(())))))((())))()())()(()))()(()()))(()(())(((()())()(((()(())(((
(())()()()((()))))(())()(((()))((()))()())()))()(()(((()())(())((()))())()((
)((((()))(()))))()))))())())))((((()())())))))(())((((((((((())(()))((()(()(
()))))()(()(()(((())())((())((((())(((()()())())()())()))))))()()((())()()((
))((()(()())()))(()()((()(()())))(())())))()))((()(())(()((()()))(())(())(((
())))())())()(()(()(()(())(()(())))((()(()()(())(()(())((())()()()(()()))())
)()(((()()()((()())(()())()(()(()((((())))(()(()))())(())()))()))()))())((()
(()))(()))())()((()()())(()(((()()(()((()))(())())())(()()()))(()))()(())()(
)))())(())())((()))()((())))())))(()(()))(()()()(())(((()((()(()))(())()((((
))()(())(((())))()(((()())))))())))(()(((()(()())))())((((()()((()))))((()((
()((()()))(())())(())())(())())((()()(()(())((()())()(()(((())(())()))())())
))))()()()((())())((((((())))()(((()))((()(((()))()(())))()(()()(())())))(()

4 :
こんにちは、乙

5 :
>>3
てよく見るけどなんなの?

6 :
30umのLSI設計はそろそろ飽きたので、28ナノチンコのFPGAに再度挑戦したい

7 :
>>5
新しいハードウェア記述言語。わかりやすく言うとHDLだぉ

8 :
(((((((((((((())(())))))(())((((((((((((((
(((((((((((((())(())))))(())((((((((((((((
(())))))))))(())))))(())(())(())))))))))((
(())))))))))(())))))(())(())(())))))))))((
(())(((((())(())))))))(((())(())(((((())((
(())(((((())(())))))))(((())(())(((((())((
(())(((((())(())))))(())(())(())(((((())((
(())(((((())(())))))(())(())(())(((((())((
(())(((((())(())))(())(((())(())(((((())((
(())(((((())(())))(())(((())(())(((((())((
(())))))))))(())))(((())))))(())))))))))((
(())))))))))(())))(((())))))(())))))))))((
(((((((((((((())(())(())(())((((((((((((((
(((((((((((((())(())(())(())((((((((((((((
))))))))))))))))(())))))))))))))))))))))))
))))))))))))))))(())))))))))))))))))))))))
(((())(((())(())))))(((())))(())))))))))((
(((())(((())(())))))(((())))(())))))))))((
))))))(((())))(((())))))(((())))(((((())))
))))))(((())))(((())))))(((())))(((((())))
))(((())(((((())))))(((((((((((())))((((((
))(((())(((((())))))(((((((((((())))((((((
))))))(())))))(())(((((((())))(())))(())((
))))))(())))))(())(((((((())))(())))(())((
(())))(((((((((((((((())))(((())(())))))((
(())))(((((((((((((((())))(((())(())))))((
))))))))))))))))(((((())(((())))(())(())((
))))))))))))))))(((((())(((())))(())(())((
(((((((((((((())))(())(((((((())(())))))))
(((((((((((((())))(())(((((((())(())))))))
(())))))))))(())))(())))(((((((())((((((((
(())))))))))(())))(())))(((((((())((((((((
(())(((((())(())(((())))(((((())(((())(())
(())(((((())(())(((())))(((((())(((())(())
(())(((((())(())(())(((((((())))))(())))))
(())(((((())(())(())(((((((())))))(())))))
(())(((((())(())))(((())(((())))(())((((((
(())(((((())(())))(((())(((())))(())((((((
(())))))))))(())(((())))(())(())))((((((((
(())))))))))(())(((())))(())(())))((((((((
(((((((((((((())(())(())))(())))))))))))))
(((((((((((((())(())(())))(())))))))))))))

9 :
V3SっていうVisualStudioのアドインでコーディングしてみようと思うんだけど、使ったことある人いる?

10 :
誰も居ませんよ?

11 :
年度末で切られたんじゃない。

12 :
泣いて派遣を斬る。

13 :
派遣を斬る快感はやめられねえ。

14 :
MAX10 4004 の高くて分厚い本が、半導体屋のプロがFPGAを書いた本として読むと非常に良く出来てる。
FPGA 中級者ー上級者 からステップアップしたい人にはオヌヌメ

15 :
>>14
2相クロックだし、多分非同期設計だからFPGAへの実装は面倒くさそう。

16 :
>>15
単層クロックで、φ1とφ2の4通りをenable扱いにして動くように作り替えればいいだけ。

17 :
>>8
ほかのスレでも時々見るけど、これって2次元バーコードか何かなの?

18 :
>>475
「上市」は一般の辞書には収載されていないが、「じょうし」と読み、
「新製品を市場に投入すること。新製品を売り出すこと」を意味する。
英語で表現すれば「launch」。医薬品業界、自動車業界などを担当するアナリストが多用する言葉だが、
医薬品業界では「承認された新薬を市販すること」の意で使用される。

ということで、いわゆる業界用語(造語)の類なので
知っているからどうこう言う言葉でもないようだ。
中国語とはたまたま同じような意味合いになっただけみたい。

19 :
>>18
ごめん、誤爆した

20 :
>>17
暗号(のつもり)かも

21 :
久しぶりにVerilog1ソースの改造をしました。
モジュール接続を変えるだけなので簡単に思っていましたが、とても手間取り、未だにやっています。
なんでこんなに分かりにくいのか考えてみると、電気回路図のように上下左右に伸びて記述すべきものを、
上から下への1次元で表現するからだと気が付きました。

top階層はブロック図で書いて、ブロックの内部をVerilogモジュールで記述するほうが
分かりやすいと思いますが、みなさん そんなことは内でしょうか?

22 :
最上位層をスケマティックで書いて、中身をVerilogで・・・ということなら、
PSoCなんかでは昔から普通にやってる。

23 :
ASICなのかな?
FPGAもトップはIPコアを配置してブロック図で配線する。HDLは自動生成されるから楽チンだよ。

24 :
トップってピン(I/O)に実際に繋がる層ってこと?

25 :
3階層くらいまではブロック図で書いてその下がVerilogだな。でも追加とか出ると
Verilogでやってブロック図にフィードバックができなくなって、うやむやで終わり。
結局最後に信頼できるのはHDLだけだな。

26 :
>22
PSOCってそんなに沢山ゲートがないだろ。

27 :
>>26
見通しを良くするためだから、規模には関係ないっしょ。

28 :
>>24について私も気になるのですが、どなたかご回答いただけないでしょうか?
>トップという言葉の意味

29 :
>>24
そう。
>>28
ザイリンクスの場合のトップはこんな感じ。
http://i.imgur.com/xBE4F0O.jpg

青い箱はIPコア。中身はHDL。

30 :
>>29
早速のご回答どうもありがとうございます。
また例まで提示いただきイメージがつかめました。
ありがとうございます。

31 :
Spartan6までしか使わないんですが、VivadoでSpartan6はできますか?
上に書かれたブロック図を見たら、ISEからVivadoに乗り換えてもいいな、と思えてきました

32 :
>>31
6はVivadoだけよ
ISEはもう新製品をサポートしてない

33 :
>>32
しばらくXilinxから離れているのだけど、Spartan6はISEではないの?

34 :
6まではISE
7以降がVivado

35 :
びばどぅ

36 :
>>33
ごめんなさい普通にレス間違えてました
他の方が言ってるように、6はISE、7以降はVivadoです
Vivadoだと6はサポートしてないです

37 :
ヴィヴァドゥ
つーかなんで平仮名の「う」の点々はないの?

38 :
>>37
そんなことより、Spartan6がVivadoで出来ナインだってね。

39 :
そう、できないんだ。
なんでだろう。
もうSpartnシリーズはやめなのかな。
好きなんだけど

40 :
S6はコストが安いから、多少の需要はあって案件もある
でも実際にはVivadoの方が案件が多いので全部Vivadoに移行して欲しいのが正直なところ
元請けより

41 :
古いデバイスはISEでしかサポートしない。
ISEについてはもう更新しないと言ってる。OSがどんどん変わっていっても更新しないつもりなんかな。
手を施さずに放置して、Spartan6(を含む)以前のデバイスの自然死を待つみたいな感じだ。

42 :
新しいOSに対応しないのは、あんまり大した問題じゃないと思うよ
VMwareとかVirtualBox使ってもいいし、XPとか7入れたPC置いとけばいい
もっとも、ISE14.7はWindows10でも動いてるけど

43 :
ちょっと前までは、ISEが正式に対応OSとしていない新しいOSで、使おうとして叩かれる人もいたのに。
もう7も入手できなくなるし、10にこの前のCreators Updateみたいな大きいアップデートが定期的に入るようになったら、やがて使えなくなりそう。
パソコン体験も含めて新しくこの世界に入ってくる人がXilinxの旧デバイスを使えなくなるのもそうそう先ではなかろうね。

44 :
>>43
将来のことは別にして、今のところWindows10でISE14.7動いてるよね?
そして「新しくこの世界に入ってくる人」が「旧デバイスを使える」って
ことが、そんなに重要なことなのかは疑問だな

あなたも「この世界に入った時」は、「旧デバイスを使う」ことじゃなくて
「最新のデバイスを使う」ことに魅力を感じてたと思うんだけど

どうしても旧デバイス使うために、古いOS使いたいって考える人は
(合法かどうかは別にして)色々方法あるわけで、そんなの若い人は
当然承知だろうから、あなたが心配することじゃないかも

45 :
>>44
最新デバイスは BGA だし魅力を感じない。

46 :
そうそう、パッケージがイヤだ。
QFPも入れておかないと、仕事で使えない。(コストが高くなる)
世の中の仕事で、BGAチップでなくて、QFPで済む仕事が半分以上なのに。

47 :
>あなたも「この世界に入った時」は、「旧デバイスを使う」ことじゃなくて
>「最新のデバイスを使う」ことに魅力を感じてたと思うんだけど
そうでもなかったな…

48 :
まあ、それは分かるし自分でも過去プロジェクトのメンテ用として
VirtualBox にXPとISE7とか10を入れてあるけど
開発してた当時の環境よりも逆に快適だったりする

49 :
>>45-46
俺もそんな感じ。
Xilinxはそういう客層との関わりから離れようとしてるんじゃないかな。
集中と選択、だろね。

50 :
>>47
最新のデバイスのultrascale使ってるやつとかいるのか疑問。

そろそろintelに移行するかな。

51 :
>>44
動いてそうなのと、何かあったときに文句が言えるのとは違う

52 :
LED : out std_logic_vector(17 downto 10, 7 downto 0);
みたいな定義をしたいんだけど、何かうまい方法ある?

53 :
>>52


54 :
>>52
基本的にはできない。
意図によってそれに変わる手段はあるかもしれない。

ほかの言語でも↓見たいな配列ってできないものがほとんどでしょ。
int a[17..10,7..0];
ただ、これも目的によってそれに変わる手段があったりもする。

55 :
歯抜けの配列作って何か便利なの?
255の次は1024になるかと思ってもそうはならないし。

56 :
不定で埋めればいい

57 :
使わないビットは繋がなきゃいいだけだと思うのだが、
あえて、定義をしないってのがな。

58 :
キャッシュにすればいいと思うの。

59 :
合成レポートに警告出るのがいやなのでは?
レジスタとかを実装するときにword単位でreg定義するとそんな感じにできるといいなあと思うことがある。

60 :
8bitのデータ幅じゃないROMやRAMのアドレス出すとき最下位をA0じゃなく、
A1やA2にしたいときはあるな。
ROM側のデータシートもA0以外から始まってることも多いので、
Asic側の信号名と整合取りたくなる。
そういう場合は普通に最上位階層から使わないA0等も出力しちゃって、
合成のときに使わない信号をVirtualPin指定してる。

61 :
A0も使っておかないとデバッグの時x2してとかになると煩わしい。こともある。

62 :
>>60
[26:2] は別にいいんじゃね?
[17..10,7..0] は気持ち悪い。

63 :
最近ちょっとしたプロジェクトをSystemVerilogに書き換えてるんだけど、
interface機能いいわ。ポートまわりめっちゃスッキリする。
あとenumもModelSimが値を名前で表示してくれるからデバッグ捗る。

64 :
学校で教えてるのは、Verilogが多いと思う。

65 :
家で不労所得的に稼げる方法など
参考までに、
⇒ 『武藤のムロイエウレ』 というHPで見ることができるらしいです。

グーグル検索⇒『武藤のムロイエウレ』"

K9OCPSVO8P

66 :
東芝情報システム が 車載半導体技術者を募集しています なう

67 :
そういえば南武線の沿線にトヨタがしこたま求人広告出してたって話があったな

68 :
労働生産性の低い業種は人いかんやろ。

69 :
定石の記述テクニック的なことを紹介している書籍ってあまりないよねぇ
たとえば、VHDLで条件コンパイルってできないよなぁ
generateとかは使えるところに制約あるし
無理やりC用のプリプロセッサを通して使ってたこともある
型変換のリファレンスとか諸々まとまった書籍ないかな

70 :
洋書はいろいろあるけど、和書は無いね

71 :
洋書で有名なものってありますか?

72 :
VHDLで型変換とかアタマのオカシイ奴が沸いてきた

73 :
テーブル作ろうと思って reg & initial で書いたら
initial は合成しないからねっ!て警告された。
ISE は出来たのに。dc のバカっ。

74 :
dcって何?

75 :
design compiler(Synopsys)。

結局のところ、FPGAの回路設計とASICの回路設計は似て非なるもの、
と考えるのが良いんじゃないかな

76 :
結局、wire にして generate で書いたけど。
FPGA は ROM を使ってくるからテーブル使いやすいけど
ASIC だと BIST の問題もあるし、ROM は使いにくいからね。
ゲートに落とすと 1clock は厳しい。

77 :
>>73
しないよ

リセット線が無いとどうしていいかわからないし

initial分かかれたものをどう半導体レイアウトにするんだ

78 :
そもそも、論理合成する時点でinitial使っている時点でおかしいと思わないのか
FPGAですら

79 :
普通ROMなんかプリミティブ使うだろ
ISEならcoregenだ

80 :
周りの人に聞くことなく、ひとり独学でやっていると
時々ヘンなことをやってしまう場合があるね、Lonely合成なだけに・・・

81 :
なかなか身の回りに詳しいのが居ないんよ

82 :
>>77
initial とリセットは何の関係もないだろ。

83 :
>>82
FPGAはリセット線無くても初期値決まるよね
ASICは通常初期値決まらないよね
そのデザインコンパイラーに、ROMを合成できるセルの部品はあるのかな。
通常フラッシュIPとか専用のを使ってFPGAとは比べものにならないくらい面倒になるんだけど
なんでinitialで合成できるのか教えてもらえますか?

84 :
わたくし勉強不足で独学でFPGAとASICを身につけたんでよくわからないんです。
あと派遣期間が長く転職回数も多いのですがどこか年収600万円以上もらえる仕事があったら教えてもらえますか?

85 :
ダメだこりゃ

86 :
てst

87 :
年収低いけど
不正脈出るくらいの職場よりましかな?
でも、前の職場の方が楽しかったかもしれない。

88 :
もう、技術以外で何を売るかを考えた方がいいよ。

89 :
>>88
人か?人なんだな?

90 :
臓器

91 :
犯罪はだめだぉ。

92 :
ぼきゅVHDLとう゛ぇりろぐを、IP化してうるわ
おまえら1デバイスあたり千円払うなら使わせてやるで

93 :
>>87
>不正脈出るくらいの職場

組織ぐるみで不正を行っているってこと?

94 :
単純に火の車なんですよ

95 :
>>93
病気になるよりましってことじゃね

96 :
>>92
AV1 エンコーダ頼む。
3000円払うわ。

97 :
>>96
なにそれ?AVのモザイク消す奴?

98 :
ROMにするか組合せゲートにするかの境目は何?

99 :
>>98
設計者の好み(というか得意不得意という面)が一番大きいんじゃない?

DRAMの制御回路(マルチポート化ロジック)なんかでも
バッファをSRAMにするかDFF(シフトレジスタ)にするか、
俺の周りでは設計する人によって2つの派に割れる

100 :
サイズと速度だな
16Byte程度以下ならゲート、それを超えるとROM/RAMを候補に


100〜のスレッドの続きを読む
3Dプリンター個人向け@電気・電子板 その59
3Dプリンター個人向け@電気・電子板 その55
アンプを作ろうPart6
PIC専用のスレ Part50
【ガイガー】放射線計測器の自作 13CPM【PDシンチ】
ネットワークアナライザって何よ?2KHz
電子工作入門者・初心者の集うスレ 89
Arduino初心者熱烈大歓迎質問スレ part23
電子工作入門者・初心者の集うスレ 90
 秋月電子がTI製品(NS/Burr Brown)の取り扱いを停止
--------------------
フィリピンと日本のハーフの俺がビリヤード
川島令三スレ
【ツイフェミ】自称フェミニスト観察スレ Part.45【アンチ男性】
【案外】HP−nx9000シリーズ【入手しやすい?】
睡眠・食事・運動・瞑想で人生を変えよう 7
マンション久保田 Part2
孫正義氏、保有するソフトバンク株の40%相当を融資の担保に
【世紀末村作り】Kenshi 【47スレ目】
■姓名判断で34画を持っている人■part3
今日買った(組んだ)Bトレを書き込むスレ
【韓国】 「北朝鮮の過去の行動は忘れよ」〜韓国大統領特別補佐官、日本のフォーラムで[06/12]
エヴァのAA総括第参百七拾壱層 ageる奴はうんこ2
【テレ朝】ベストヒットUSA【BS朝日】
不正な臭いがするのでキャンセルさせていただきます
主人公より強いやつって何なの?
【移転】 滋賀県大津市について語ろうPart64
【藤沢】いすゞ期間工 更新54回目【栃木】
【VR】オルタナティブガールズ2 part753【オルガル】
【ベース専用】ヤマト運輸の仕分け作業 246個目
第13回朝日杯将棋オープン戦 Part23
TOP カテ一覧 スレ一覧 100〜終まで 2ch元 削除依頼