TOP カテ一覧 スレ一覧 100〜終まで 2ch元 削除依頼
CNCフライス盤 2切削目
オシロスコープ総合スッドレ! part25
電子工作入門者・初心者の集うスレ 85
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #20
【RaspberryPi】1ボードPCを語るスレ2【BeagleBoard
ネットワークアナライザって何よ?2KHz
PIC専用のスレ Part46
シーケンサ・PLCラダー総合スレ 19台目
無免許電気工事士R
初心者質問スレ その133

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #20


1 :2013/12/22 〜 最終レス :2014/12/03
FPGA、CPLDのスレです。
雑誌の付録にも付くようになり、その名を良く知られるようになりました。
20年も前からやっている超ベテランも、こないだから始めたビギナーも、
仲良く情報交換しましょう。
FPGAを使って300円液晶に絵を出したとか、昔ながらのゲームを作ったとか
ネギを振らせたとか、例の楽器を作ったとかの製作談もお待ちしてます。
その他、FPGA関係の話題なら、何〜んでもどうぞ。
雑談も歓迎です。ハメを外さない範囲でご自由にどうぞ。
さあ君も レッツ F・P・G・A !!
Xilinx http://japan.xilinx.com/
ALTERA http://www.altera.co.jp/
Lattice http://www.latticesemi.co.jp/products/cpldspld/index.cfm?source=topnav
Actel  http://www.actel.com/intl/japan/
■前スレ
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel 19
http://uni.2ch.sc/test/read.cgi/denki/1371591260/

2 :
過去ログ参照したいときは、外部キャッシュサイトも便利です。
スレタイで検索しましょう。
・ログ速 ttp://www.logsoku.com/
・2chビューアーD ttp://2ch.viewerd.com/
・unkar ttp://unkar.org/r/denki/
他にもあると思う
以上、テンプレらしきもの。
     _,,,
    _/::o・ァ
  ∈ミ;;∧,ノ∧    ,,,,,   ,,,,,
    ( ´・ω・) ,,,,(o・e・),(。・e・),,     新スレです
     /ヽ○==(。・e・)(。・e・)(o・e・)     仲良くつかってね。
    /  ||_彡,,, ノ彡,,, ノ彡,,, ノ
    し' ̄(_)) ̄ ̄ ̄(_)) ̄(_))  ガラガラ

3 :
>>1


4 :
AHDLか、なつかしいな。
評価変数が使えたり、階層の帰納定義ができたり、
"else generate"文が使えたり、2次元の入力ができたりで
VHDLが使えなかった時にはよく使いこなしたものだった。
AHDLでスケーラブルなランクフィルタ作ったことがあるけど、
暇があったらVHDLで書き直してみたい。

5 :
いずれにしろ、回路図という2次元の情報を、
HDLという上から下への1次元に書き表さないといけない。
書いてて、イラッとすることがある。
上から見ていって、「おっと、これは関係ないから飛ばして・・・・」と

6 :
VisualHDLって今どうなんだろ
学校とか用と感じたけど、使ってんのかな?

7 :
>>6
なんかfreeのがあるんだねw 知らなかった
6はサミットデザインのこと

8 :
それはVisual Eliteや

9 :
>>5
禿同!
だが、magicに戻るか?って言うと…

10 :
今はメンターに買われたんだろ
まだ使ってるところあるのか?

11 :
2種類のクロックを切り替えるのってどうやるんだ。セレクタじゃ不味い?
よな。

12 :
>>11
条件によってはセレクタでも構わないだろ
最小パルス幅を保証したけりゃ適当に回路組め

13 :
>>5
> HDLという上から下への1次元に
そうか? 俺は左から右に信号が流れているイメージだが?
上から下って、ソフト出身?

14 :
左から右、上から下に流れるように描け、
新人の頃はそれで十分書けた、
いまや努力目標でしかない。

15 :
>>13
if分岐で、左右横並びにしたいのを、
縦に表現しなければならないところでしょ

16 :
問題無いな。
右にセレクタが居るのが見えるだろ?
見えないの?

17 :
>>11
ライブラリにあるクロック用MUXをインスタンス化すれば?

18 :
>17
 アルテラ調べてみたが見当たらん。名前はなんてやつだろ?
省電力とか、通信とかクロック切り替える必要があるからかなり用途は
あるよな。
 いままで外部に引き出して外部のMUXで切り替えしてたんだが、、、

19 :
コレのことか?
ttp://www.altera.com/literature/ug/ug_altclock.pdf

20 :
Stratix 10 FPGA: 想像を超える性能を実現
http://www.altera.co.jp/devices/fpga/stratix-fpgas/stratix10/stx10-index.jsp
ひとつのデバイスに実装できるヘテロジニアス・コンピューティング時代の汎用プラットフォームの確立を目指す
(´・ω・`)ヮォ

21 :
初心者なんですが、FPGAって1つの信号に着目して立ち下がり、立ち上がり、両方を検知することはできないんですか
例えばverilogで書くと
always @(posedge CLK or negedge CLK)
みたいなことなんですが。↑だとエラー出ますよね。
FPGAでは構造的に不可能なんでしょうか。

22 :
always @(posedge CLK or negedge CLK) 
↑は、FPGAに限った事ではなく基本手的にエラーだよ。
単に信号の両方エッヂ検知なら、他に方法は幾らでもある。

23 :
テストベンチなら動くと思うが合成はできないな。それ。

24 :
always @(*)
これ、デコーダ書く時便利だな
しばらく知らなかったんで、抜けが無いよう一生懸命センシビリティリストに書いてた。

25 :
幾らでもあるなら一つ教えてもらえますか

26 :
興味もないしやったこともないけど、 @(CLK) って書けば文法上はどっちの変化も捕まえられる。
ただし、自分はツールの能力を正確に把握してないので、もしやるならPLLで逓倍したクロックの立ち上がりだけ使って代用する。

27 :
クロックの↑と↓の両方で制御させることって、あるの?
信号ならあるけど、クロックでさ。

28 :
DDR?

29 :
普通にあるけどな。その方が性能出るし。

30 :
ツールの能力と言うよりはデバイスのセル構造をみて判断せねば。

31 :
両エッジ使うのは入力波形のデューティーは簡単に変わるから
危ないってばっちゃが言ってた

32 :
>>29
>普通にあるけどな。その方が性能出るし。
FPGAのClockの上げ下げ両方で動作するHDLを書くのか?
外部へのクロックが上げ下げでも、FPGAはその倍の周波数の上げで動いてるのではなくて?

33 :
>>32
Coolrunner2とかクロック上げ下げで動くFF持ってるPLDが無いって訳ではないので
物による、でFA

34 :
立ち上がりで動作するFF群と立ち上がりで動作するFF群を意図的に書いてタイミング回路生成する、だろ

35 :
タイミングケアは置いといて合成できるようにしたいなら
always @(posedge CLK) と always @(negedge CLK)
を2つ作って出力信号をCLKを使ってMUXしてやる
DDRの基本手法だけど、同期設計と同じ合成方法で出来るわけじゃないから注意

36 :
実機(cyclone)で試したことあるんですが、always(信号名)は挙動がおかしかった記憶があって使うの避けてます。(あくまで記憶ですが
always @(posedge CLK)とalways @(negedge CLK)が同じverilogファイル内に存在するとエラー出ますね。

37 :
>実機(cyclone)で試したことあるんですが、always(信号名)は挙動がおかしかった記憶があって使うの避けてます。(あくまで記憶ですが
認識はただしい。多分同期設計と同じ手順でやったんだろう
同期設計と非同期設計の違いくらいはわかって話してる?
それがわからなかったら両エッジ取り込みなんか絶対に無理だからそこから勉強して

38 :
AlteraのQsys使ってる人に質問。
最近VirtualBox上のWindows7にQuartusII12.1sp1を入れて開発・学習してるんですが、
QsysでNiosIIのシステムをGenerateするときに
「Error: Failed to elaborate classic module C:/Users/ユーザ名/AppData/Local/Temp/hogehoge/hoge/yysystem.ptf (0)」
とエラーが出てしまいます。
何回かGnerateすると通るのですが現在何度やってもエラーが消えなくて
根本的な解決をしたいのですが、同様な症状を改善した人がいたら解決法やヒントを教えて下さい。

39 :
つまり、
Verilogの文法範囲内でロジックを組んでそれが正しくても、
それをASIC/FPGAにする段階で、「部品が数種類しかないレゴブロックで実現しな!」
って言われるわけ。
そこが分かると、両エッジがダメとか言われる理由が分かるでしょう。

40 :
両エッジはposedgeのFFとnegedgeのFFを組み合わせてMUX使ったら出来なくもないから合成しようと思えばできるけど、倍以上リソース食うしタイミングも難しいから現実的ではないだろうね。
IOセルは高速信号に対応できるように両エッジに対応してるけど、結局片エッジのFF組み合わせてパラレル信号に直して内部ロジックに接続してるしなー。
FPGAの内部ロジックでは片エッジのままパラレル化して倍速の信号を扱うか、倍速のクロックを使うのが無難だろう。

41 :
XのアプリケーションノートかなんかでDSPブロックだけ倍速で動かして
リソースを減らすみたいなのを見た覚えがあるが見つからない

42 :
mux->dsp->demuxするだけ

43 :
フラッシュからのNIOS2のロード失敗しまくると思ったら
リセットの配線間違ってた 何という間抜けなミス

44 :
マイコンとかの組み込み系のコードだとcase文やif文よりも
アドレスを直接参照するtable文の方が処理が速いというのが一般的だと思うんですが、
FPGAでは特に関係ないですか?

45 :
ハードウェア記述言語はコンパイルされるわけじゃなくて、
回路が合成されるわけだから、どのような回路が合成されるかによる。
その結果の速い遅いは、Fmax(最大動作周波数)×何クロックかかるか、で決まる。
前者はどのような組合せ回路が合成されるかによる。後者は自分がどう設計したか、による。
いずれにしろ、プログラミング言語のようにCPUが順番に処理するものを記述してるわけじゃない、
(ハードウェア記述言語は、プログラミング言語じゃない)ということをまず頭に叩き込んで。

46 :
>どのような回路が合成されるかによる。
一般的にどうなのかを知りたいです。
ツールによって合成結果が全く異なるということなんでしょうか?
case文、if文、table文も大差ないという解釈でいいんですか。

47 :
最近の合成はわりと賢いから、等価で同モジュール内の組み合わせ回路はどう書いてもだいたい同じになる。

48 :
>一般的にどうなのかを知りたいです。
「一般的」なんてありえないよ。
合成ツールによって違うしFPGのアーキテクチャによっても違う。
てゆうかマイコンのソフトだってマイコンの種類によって命令セットも違うから
あるCPUで通用した話が他のCPUでは通用しなかったりするのに。

49 :
↑の人の通りだな
xx文での差異よりも、むしろコンパイラの合成オプション差異の方が大きいだろ

50 :
ツールによって合成結果が全く異なる
ということですね。わかりました。

51 :
かもしれない、から、確定的なことは何も言えない、ということ。

52 :
そもそも、「早い」ってのが何の意味で使ってるのか・・・

53 :
細かい差異が重大なら実験すればいいし、
そうじゃなくてターゲットFPGAがわかってるならコーディングガイドラインに従えばいいし、
ターゲットFPGAすらわかってないなら気にするだけ無駄。
とかちょっと思った。

54 :
それぐらいの差異なら可読性を重視したほうがよいかと。

55 :
質問です。
何時間待ってもISEが終わらないということはあるのでしょうか?
「だめだこりゃ」と思って、途中でキャンセルするとき、
「もしかすると、あと10分待てば終わるかも知れない。どうしょう」
という事がありそうな気がします。
そもそも、Spartan3なら5時間なら普通、10時間なら異常とか、
一般的な数値があるのでしょうか?

56 :
PCの性能に加え対象となる回路の使用率なんかにもよるから、その辺に触れない限り正常・異常の閾値はないんじゃないかな?
Xは詳しくないけど、Aなんかはフィジカルシンセシス有効でエフォートレベルを上げると8時間とか普通にかかることもあった。
この時の対象はStratix4の360だったかな。

57 :
>8時間とか普通にかかることもあった。
5時間とか7時間のとき、キャンセルしようと思わなかったでしょうか?

58 :
規模とセル使用率だな。
使用率が限界近い状況だと、使用セルが数セル増えただけで
それまで数分で終わってたのが 1時間以上とかになる場合がある。
こんな場合は、俺は途中でアボートしてるね。

59 :
限界近い状況でも、シード値変えればokさ

60 :
プロセスのCPU使用率も参考になるよ。何分も0%ならハングってる可能性大。

61 :
>57
思わない。
ALU使用率9割超えでエフォートレベルが高ければ「そういうもの」だったから、翌朝確認するつもりで夜始めてた。
機械の処理を短くするために人間の時間を使っていいならロジックロックとかすると幸せだったかもしれない。

62 :
>>57
思うよ。普通ならね。
で、もっと上のサイズのFPGAにインプリメントしてみて、さくっと合成し動作確認。
動作OKになってから、本ちゃん用のデマイスでコンパイルすれば、ほぼOK。
確認作業の為に無駄な配置配線に時間を掛ける奴はド素人。

63 :
タイミングシミュレーションまで、それなの?

64 :
>>44
「シミュレーション速度はどれが速いですか?」という質問ならある程度の答えが出るような気がします。

65 :
もっと上のサイズのFPGAが買えるようになりたいと思うド素人であった orz

66 :
配置配線で時間がかかるようになるのは80%超えたあたりからって感じじゃね?

67 :
>>64
そういえば、そっちの観点も重要ですね。
おそらく今時のHDLのコンパイラなら、組み合わせ回路の部分はどんな書き方をしても、
合成される回路はほぼ同じ。
なぜならば、合成するまえに、構文から組み合わせ回路の論理を作った後、
その中間表現を介してから実際の回路へ論理合成するだろうから。

だけど、シミュレータ上では、多少差があるかもしれない。
ただ、VCSとかは、一度、論理を中間表現にコンパイルしてからシミュを実行しているから、
こちらも構文による実行速度の差は出なさそう。

68 :
Altera Quartus v13.1
もしかして、Cyclone T/U のサポート無し?

69 :
そうみたい。
一つ前のリビジョンではまだサポートしてたのに…
ttp://www.altera.com/literature/rn/archives/rn_qts_130sp1_dev_support.pdf
ttp://www.altera.com/literature/rn/rn_qts_dev_support.pdf

70 :
>>68
Cyclone I/IIの時代U終わったのか…

71 :
今新規でI/II使う理由ってあるの?
というかFPGAって良く知らないんだけどAの場合Cyclone I〜Vはどういう風に使い分ける物なの?
IVのDE0-nanoよりIIIのDE0の方がDIYやら同人やらでネタになっている事多いのは何故かなーと
ちょっと思っていただけなんだけどね・・・。DE0の方が最初についているI/O豊富だけどnanoの方が
ロジック数もメモリも多くてさらに安いからちょっと不思議だなーと。

72 :
新規でI/IIを選ぶ理由は無いでしょ、単にI/IIの世代の資産を持ってるだけ。
2つ目は純粋にI/Oでしょうな、 nanoはLED&SW程度しかないから
何かやろうと思えば外付け回路の製作が必須になる、
工作自体難しくは無いんだろうけど、これが億劫である事は間違いない。
俺の場合も、工作が億劫でnano買ってから半年ほったらかしで、
よりプァなPapilioとか1Chip-MSXとかの方でで遊んでたクチ、理由は純粋にI/Oの差。
手狭になってっきたんで、ようやっとnanaに移行し始めた処だよ。

73 :
たしかC3位から入力電圧の範囲が狭くなっていたはずで、その分ノイズに弱い。
多分微細化の影響だと思うけど、世の中の流れだから仕方ないよね…。

74 :
ほとんどIOで選ぶだろうね
DE1使ってるからサポート切れは悲しいな

75 :
暫く見ない内に「悪」が滅んだみたいだね。
電源ONで即稼働開始するFPGAは無くなったって解釈でイイの?
「寺」「罪」「拉致」では詰らない。。。
ダイナチップやクイックロジックとかも面白かったよね

76 :
あるじゃん

77 :
>76
ガンダムがどうして出て来るのですか?

78 :
75-77の流れがさっぱり理解出来んが・・・Microsemiなら普通に生きている
つか当て字キモイ。こういうのが許されるのは小学生まで。

79 :
FPGAをつかってエフェクターのディレイをつくっています
http://www.youtube.com/watch?v=fVxoNzcRO1I
現在、AD変換したのをDA変換して出力する部分までは作ることができました。
そこで、FPGAに大量のレジスタを作って信号を遅延させようと考えていました。
しかし、論理合成にかなり時間がかかってしまうのと、回路規模が大規模になってしまうので
外部メモリを使用しようと思います。
しかし、外部メモリは初めて扱うので、どれを使うのが適切かわかりません。
どのようなRAMを買えばいいのでしょうか?
なるべく扱いやすいものが望ましいです。
信号は11bitでサンプリング周波数は約100kHzです。
最大1.5秒ほど遅延させたいので、11bit*100k * 1.5 で2Mbit程度のデータを格納できるものがいいです。

80 :
Spartan6 の真ん中へんのやつが、
Block RAM 2Mbit
外付けRAMならSRAMで4Mbitくらいつけたら?
512K x 16bit

81 :
>>71
> 今新規でI/II使う理由ってあるの?
>>72
> 新規でI/IIを選ぶ理由は無いでしょ、
あるよ、CPLDでは内部メモリが足りない領域で。
この隙間の領域、III以降で I/IIより安いのが無いんだな。

82 :
そういう隙間三行はLatticeの範疇。

83 :
>>79
11bit/100kHzって、えらくバランスが悪いな
11bitじゃレンジ狭すぎだし100kHzは無駄に高すぎ
12bitぐらいまでで良いんだったらdsPICでも使った方がパラメータ弄ったり
簡単にできて楽だと思うが

84 :
100kHzとか聞こえない領域まで取りすぎだよな

85 :
1bit DAC まで進化する途中なのだ

86 :
>>10
日立製作所 大甕工場 に派遣請負される企業に就職すると、その能力は発揮できる。

87 :
>>79
サンプリング周波数が低すぎるから、
メモリは扱いやすい、遅いものならばなんでもよい。
FIFOとしてつかうってことでしょ?

88 :
>>55
業種によっては、3日合成して、タイミング制約に間に合わないとか普通だから。
リソース80%超えるとそんな感じになって、90%超えるとそんな感じにあぼーんする。
FPGA複数置くことを検討するか、デバイスを上位のものにするか、論理を効率化するしかないね。
と思う。

89 :
100kHzでサンプリング周波数低すぎってコウモリ用エフェクターかよ

90 :
ああ、
100MHzでサンプリングしてそのままメモリに書き込む訳じゃないんだから、
FPGAの動作周波数に比べて所詮音声帯域で遅いので余裕がある。
だから、外付けRAMの速度はさほど気にしないで、
扱いやすくて安くて入手性の良いものを選べばいいと言う意味。

91 :
サンプリング周波数があと1〜2桁速くなってから悩み始めるところだ
それで>>90の3桁速い100MHzサンプリングなら、
みんなはどんな構成考える?

92 :
1秒分の容量を稼ぐために、DDRを使ったFIFOを使うと思う。
帯域的には、A社のメモリコントローラの性能問題にはまだ苦労しないですむはず。

93 :
コウモリ用わらた
犬の調教用かもしれん

94 :
>>82
そんな隙間にツール変えていられるかつーのw
もっとも、そのツールのサポートが無くなった訳だがorz

95 :
>>91 >>92
DDRバースト転送でほぼいいと思うんだけど、以前、等長配線じゃなくて、
お客様からあずかった高価なボード、たたき割りたくなった。
そのFIFO介して、HDDとかSSDに書き込むようにして再生できるようなものを、
民生品レベルの金額で作れるようにしたら、信号処理の世界が広がり、バカでも大もうけできると思われ。

96 :
>>95
> 等長配線じゃなくて、
DQS/DQのグループ内で等長ならいい筈だけど、それすら出来て無かったの?

97 :
>>96 わりい3年以上前で覚えてない。自分の技術が未熟だったかもしれん。少なくともそんな記号は無かった気がする。

98 :
DQSの内DDRがあるわけないだろ

99 :
>>98が何を言いたいのかさっぱり分からん。
等長配線の話だったはずなのに、何でDQS単位でDDRとかいう話になるんだ?

100 :
>>98
意味不明。伝わるように書け。


100〜のスレッドの続きを読む
初心者質問スレ137
[MTM08]MAKEミーティングに出展するぞ
(_■三 真空管 総合スレッド 三■_) 第7グリッド
▼ループアンテナを熱く語るスレ▼
工学部行こうと思ってる高二だが
【Renesas】ルネサス総合 part8
RISC-VマイコンK210搭載『Sipeed MAix』『M5StickV』
【Renesas】ルネサス総合 part11
【代引き】電子部品通販のスレ【送料】 その6
CNCフライス盤 2切削目
--------------------
爺と、マリオオデッセイ2人プレイ、……すゆ?
【キラッとプリ☆チャン】緑川さらは可愛い物が大好き可愛い
☆スロ板住民の雑談★7673 ちんこもえた╰⋃╯🔥(*'ω'*)
新・教員公募星取り表54乙女大歓迎
FF11の曲はFFシリーズ最悪
トランジスタ技術のスレ
弥生顔VS縄文顔
ドラゴンポーカー★1324
鹿児島のイベント Part10
映画の1シーン書いただけでタイトルが分かる映画といえば何? [998671801]
【飯塚幸三】前日に「おじいちゃん、海に連れて行って」 池袋暴走犠牲の莉子ちゃん祖父「どうして逮捕もされず...」 [426957453]
マイクロフォーサーズマウントアダプターを楽しむ6
【肥報】矢作萌夏の2019年劇場公演出演回数0回!!
ポンコツ君のおうち4
【個人】変動金利VS固定金利【住宅ローン】5%
初心者の入りやすいSTG教えてくれ
150CCスクーターで高速道路を走ろう!
【ワカヤマン】証券外務員 Part6
大仏のお面かぶって街に繰り出したい
明治学院大学ボランティアセンター Vol.2
TOP カテ一覧 スレ一覧 100〜終まで 2ch元 削除依頼