TOP カテ一覧 スレ一覧 100〜終まで 2ch元 削除依頼
 秋月電子がTI製品(NS/Burr Brown)の取り扱いを停止
制御盤 設計(ハード/ソフト)・試験・現場工事etc その7
アッテネータは和製めちゃくちゃ語
【な】秋月、千石、若松などを語るスレ90【ど】
自作回路でUSB EndPoint5
原発反対派は精神異常者の集まり
【Digi-Key】部品通販屋を語るスレ 4【Mouser,RS】
arm vs SuperH
電子工学者とセックスしたい
▼ループアンテナを熱く語るスレ▼

【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #21


1 :2014/12/07 〜 最終レス :2015/10/19
FPGA、CPLDのスレです。
雑誌の付録にも付くようになり、その名を良く知られるようになりました。
20年も前からやっている超ベテランも、こないだから始めたビギナーも、
仲良く情報交換しましょう。
FPGAを使って300円液晶に絵を出したとか、昔ながらのゲームを作ったとか
ネギを振らせたとか、例の楽器を作ったとかの製作談もお待ちしてます。
その他、FPGA関係の話題なら、何〜んでもどうぞ。
雑談も歓迎です。ハメを外さない範囲でご自由にどうぞ。
さあ君も レッツ F・P・G・A !!
Xilinx http://japan.xilinx.com/
ALTERA http://www.altera.co.jp/
Lattice http://www.latticesemi.co.jp/products/cpldspld/index.cfm?source=topnav
Actel  http://www.actel.com/intl/japan/
■前スレ
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #20
http://wc2014.2ch.sc/test/read.cgi/denki/1387718215/

2 :
hiyaha-

3 :
       __________
     /━━━━━━━━━ \
     |┃| ̄ ̄|. 〇 〇 [大月]┃|
     |┃| ̄ ̄|| ̄ ̄ ̄ ̄ ̄ ̄|┃| プオーン!!!
     |┃|__||______|┃|
     |┃               JR ┃|              
     |┗━━━━━━━━━┛| \('A`)/ ミ     
     |   ━━  ━━  ━━   |   ( )  ミ
     |     [中央.特快]    |   └└ミ
     |        \_/        |
     |  〇     ━━━   〇  |.   ┌────────────
     |___________|.   │
      │     │[=.=]|     |..   │
      └─────────┘   │
        /         \    │

4 :
久しぶりにちょっとした設計しないといけなくて
Quartusとmodel Sim動かしたら、テストベンチ無しのシミュレーションかけたくて
頑張ってたけど、思い出せない。。
CLKなんかを"Create Wave"のメニューで生成できなかったでしたっけ??

5 :
たしかvhdl なら
clk <= not clk after x nsec;

6 :
MAX10の在庫が増えたね

7 :
>>6
Thk
Digi-Key見てみるよ。
MAX Vより安い? 高い?

8 :
Modelsimのstarter editionが使えないんだけど同じ症状の人いない?
Quartus IIの14.1入れたんだけど、modelsim使おうとするとfatal license errorになっちゃう
Starter Editionはlicense不要って書いてあるんだけど(´・ω・`)

9 :
Quartus14.1入れたけど、アルテラお得意の怪しい臭がプンプンするw
当分14.0.2だな

10 :
大丈夫だ。問題無い。
某マスターPがあらゆる地雷をことごとく踏み潰してくれるはずだ。

11 :
そいつ 自己中っぽいから嫌い

12 :
>>8
今さらだけどバグだったっぽい
頒布ページで修正パッチ配ってるな
ノートンさんが過剰反応するから注意

13 :
起動できないバグとかどんなリリースミスで起こるのか知りたいわ
ちゃんと再発防止しろよな

14 :
intel「カスタムチップの注文受けるよ」TSMC死亡か [転載禁止]&copy;2ch.sc [838847604]
http://fox.2ch.sc/test/read.cgi/poverty/1419473160

15 :
インテルも仕事ないんだな。
ファブを遊ばせておくわけにはいかないし。

16 :
【IT】さよならIE…新ブラウザ「Spartan」がWindows10に搭載か[2012/12/30] &copy;2ch.sc
http://anago.2ch.sc/test/read.cgi/bizplus/1419910661/

17 :
へえ・・・商標権は大丈夫なのかしら?

18 :
>17
日本の場合商標は分野別だから、ザイリンクスがどう登録したかだな

19 :
xboxのゲームで出てくるマスターチーフの事だとは思うけど
単に開発コードだろうし、実際の商品名はやっぱりIEだったらテラワロスw

20 :
初心者ですが教えて下さい。
XilinxのFPGAで、DDSでsin波形を作って、D/Aコンバータで波形として取り出したいです。
D/Aがパラレル式で、1クロックで出るなら問題ないと思うのですが、
シリアルSPI方式なので、以下のような手順で考えています。
DDSの値(パラレル)→SPIで送る→波形電圧1つ変化→(この繰り返し) です。
質問ですが
1. D/AのSPI転送速度が1点1usかかるとすると、DDSモジュールの波形値の更新は、
それ以上速くてもしょうがないと思っています。これは正しいでしょうか?
2. 
すみません。頭が混乱してきました。
また今度来ます。

21 :
        ∧∧
       ヽ(・ω・)/   ズコー
      \(.\ ノ
    、ハ,,、  ̄
     ̄

22 :
>>20
いいんじゃない、それで

23 :
SPIなら出力ビット数を送るのにそのクロック数かかるから、CORDIC実装が一番面積少ないんじゃないかな
大容量デバイスで気にしなくていいなら sineromつくって clkとen信号で動かせば良かろう
と得意分野だったのでレスしてみたテスト

24 :
XILINXのFIRフィルタの入出力ビット幅がどんな仕組みで決まってるのか分からん
日本語ドキュメント欲しいよぉー

25 :
FIRぐらい自分で1時間かからずrtlかけるだろうが
かいたらアホな質問だと理解出来るでしょ

26 :
>>25
書くだけならIIRが簡単

27 :
Linuxって動くの?

28 :
FPGAマガジンの第5号でも買え

29 :
WIN95なんていらねぇ

30 :
MAX10でALTERA FPGAを使い始めた者です。2つ質問させてください。

・電源監視ICでnCONFIGをアサートしてコンフィギュレーションを開始するような回路を作ってるんですが、
いまのところリセット信号を入力していません。VHDLのサンプルソースを見るとかならず同期/非同期リセットで
レジスタやステートマシンを初期化する処理が入ってますが、nCONFIGをコンフィギュレーション時間分遅延させたような
信号を作って、外部からリセット信号として入力することは必須ですか? それともユーザーモード移行時に
ゼロクリアされ正常に始動するものとして省略してもいいものでしょうか。
あるいはユーザーモード移行時にアサートされる内部信号のようなものはないんでしょうか。

・接続しているデバイスの~CS信号など、ユーザーモード移行時に初期値HIGHに、high-ZからLOWを経ずに
移行するよう設定することは可能でしょうか。内部にステートマシンがあるなら、S0で'1'を出力するように
しておけばLOWにならないことは保証されますか?

31 :
>>30
MAX10は知らないけど、CyclomならINITDONEをCRでちょい遅延させてリセット信号にする。
あるいは内部PLLのロック信号も使える。

> ユーザーモード移行時にゼロクリアされ正常に
そうだけど、意図しない初期値になるかもだからお勧めしない。

> LOWにならないことは保証されますか?
自動初期化に期待してては、されないな。

32 :
>>31
詳しくレスいただいて有り難うございました。
MAX 10にはinit_doneが無いようなので、PLLのロック信号を使用したり、
カウンターでリセット信号を生成する方法で検討してみます。

33 :
代理店のアル☆ィマに聞いたところでは、電源投入時は全FFがLで始まるらしい。
ただ、論理合成しだいで負論理で使われるかもしれないが。

34 :
>>32
init_doneはなくてもconf_doneがあるから、その信号を電圧監視IC
(NCP303とか)に入力してやってリセットかければ、うまくいくんじゃない?

35 :
DE0使ってNiosIIいじりたいと思ったんだが
DE0見当たらん どこにしまったのやら
Zadboardは引出しの中から見つけたが

36 :
DE0なら隣でホコリ積もってる

37 :
     ...| ̄ ̄ |
   /:::|  ___|
  /::::_|___|_
  ||:::::::( ・∀・)    DE0-CVはまだかね?
  ||::/ <ヽ∞/>\   
  ||::|   <ヽ/>.- |  
_..||::|   o  o ...|_ξ
\  \__(久)__/_\
.||.i\        、__ノフ \
.||ヽ .i\ _ __ ____ __ _.\
.|| ゙ヽ i    ハ i ハ i ハ i ハ |
.||   ゙|i〜^~^〜^~^〜^~^〜|i~

38 :
秋月や共立でALTERAのCPLDかFPGA扱って欲しいな

39 :
最近LX9を扱い始めたね
スパ6の中では趣味の工作に使いやすい手頃な値段だ

40 :
インテル同様アルテラは高いから敬遠されるな
趣味で使うならアルテラがいいと思う

41 :
1年に何個も使わないからなぁ。
アルテラやラティスだと久しぶりに使い始めるたびに
ソフトのライセンス更新が要るとかバージョンアップ強制されたりが面倒い。

42 :
ttp://res.nimg.jp/img/system/watch_banner_related_tag/1421984491_600_60.jpg

43 :
不思議とトラ技の特集でXILINXの特集見ないよね、雑誌の付録もALTERAばかり

44 :
そんなの袖の下で決まるからな

45 :
>>42
まともな技術者ならyoutubeに負けるとわかるから集まらない
時間の無駄

46 :
一日探したけどDE0見つからないから新しく買うかな……
ついでにAltera SoCも弄りたいんだけど良い評価ボードないです?

47 :
>>43
海外の製品でFPGA載ってるもの見てるとALTERA全然見ないよね。やっぱ単価の問題なのかな?
ALTERAで勉強はじめちゃったけどXilinxの方が潰しが効いたりするんだろうか
ちょっと前かかわった仕事で使われてたのはLatticeだったけどね。

48 :
デバイスに依存するようなディープな部分を設計するんですか?

49 :
>>47
FPGAはXilinxが始祖で、シェアが高いのは当たり前。
日本攻略は後発のAlteraが上手で、日本はAlteraのシェアが高い。

なので日本で仕事するならAltera。
値段も安いし

50 :
インターフェースに広告が載っててびっくりしたな。みんなキモい上に上から目線。

51 :
上から目線?寺が?

52 :
ああ、ごめん。50 はドワンゴの話。

53 :
デバイス価格と、ユニーク機能でAかXか決めてる。
使用顧客の希望とかもあるが。代理店と懇意にしてると特価取ってきてくれる。
Aを指定されてもXが安いとそっちに転ぶことも。
TEDがXやめちまったんで絶賛混乱中w

54 :
MAX10でいろいろ質問です。
10M08って、ブロックメモリが378Kbってあるんだけど、これパリティ含むビット表記で、
バイト単位に直すと42キロバイトでおkですか?
NiosIIを/eで構成して、残りをフルにオンチップメモリに割り当てても、簡単なCで書いた
コードならRAM上で実行可能?
コードをユーザーフラッシュ上に置いてもデバッガからダウンロードしてブレークポイント置けますか?

55 :
x

56 :
>>54
>MAX10でいろいろ質問です。
> 378Kbってあるんだけど、これパリティ含むビット表記で、
含む。
でも、内部メモリにバリティ付ける設計はしないと思うのは俺だけ?

> コードならRAM上で実行可能?
出来ない理由はないと思う。

> フラッシュ上に置いて 〜 ブレークポイント置けますか?
CPUのデバッグ機能次第。
PCのアドレスでトラップしてくれれは出来る。
昔ながらのコード書き換えで実現しているなら無理。

57 :
バリティ

58 :
saeg

59 :
>>57
わりぃ、スマホで歩きながら書いてたorz

60 :
>>58
お互い様ってかw

61 :
ドワンゴ書類で落とされた

多分、ルネサスと同じ状況になると思われ

62 :
ルネサスと同じって何だよ?
毎年リストラか?

63 :
そうそうw

64 :
まさか、ルネサスも書類選考で落ちたの?

ドワンゴは何人くらいハード屋採るつもりなんだろう?
素直に外注したほうがいいと思うんだけど・・・仕様書書けないのかな。

65 :
ニコ動だから応募している奴らのレベルは低いだろう
ニコ動が欲しいレベルの人材が将来性のない求人に応募するとは思えない

66 :
いや、ドワンゴが人材を判断出来ない。

気になったなら応募してみそ。

67 :
ルネサンスは派遣切りにあっただけだよ。
リストラされる社員は税金から1500億円もらってたんまり退職金支給されてた

68 :
元派遣なら書類で落とされるのは当然の結末だと思うんだが??

69 :
>>65
ニコ動技術部の技術はスゴイぜ!
ある意味な。

70 :
>>66
判断された結果要件に満たない人材って事だろ
現実と向き合え

71 :
完成したら即リストラになりそう

72 :
リストラの前に会社が無くなると思うわ

73 :
ニコニコ技術部で応募して書類突破してみろよ

74 :
謎プライド持ちの無能

75 :
子供の遊びに付き合える人じゃないとまず応募はしない

76 :
面接にいって何をしたいのか聞いて
このスレに晒そうと思ったのに

77 :
知り合いの会社に話が来たってよ。
やりたいことは何となくわかるけど、
根本的にハードのことを知らないみたい。

78 :
>>76
それは事前問い合わせの時応募する側が
企業に聞く物事では?

79 :
だから、金だけ持ってるバカなIT企業が、
募集したから話直接暇つぶしの聞きに行って
条件よかったら働くけど、それ以前にお話にならないって話

80 :
>>79
個人情報を履歴書から奪われたらどうする?

81 :
まともな企業相手に就活した事ないんじゃね

82 :
平成生まれなら履歴書もまともに書けないか

83 :
>>79
お話にならないのは、君の経歴なんでしょ。

84 :
だから>>79が個人で仕事すればいいんだよ
会社がバカだって言えるくらい
能力に自信はあるんだろうから
通勤の必要もないし

85 :
ファブレスのハード屋なんて、所詮、根無し草。

86 :
でも各種IPを保有する、世の中を支えている頭脳は大体ファブレスのエンジニア
ってのが若干悔しい
ARMコア(このスレ的にはCortex-M1とか)がその最たる例だよね

87 :
>>85
IBMも売っちゃったし、ファブ持ってるのはインテルとサムスンくらいだろ。

88 :
このスレアホばっかりだ

89 :
>>86
ARMはうまく隙間に入れたけどIP屋は怪しいだろ
大手でもシリコン実績が無いとかFPGAならアレだが
ASICだと結構大変だぞ
メンテはインドとかだと終わった感高いよ

90 :
>>87
る・・・るねさす(涙)

91 :
それなら東芝のフラッシュも入れてよ

92 :
Cyclone 10っていつ出るんだ・・・

93 :
>>92
Cyclone 10は出ないという説がある
ttp://news.mynavi.jp/articles/2014/10/01/altera_max10/
この記事の下のほう

94 :
>>93
Oh...そうだったのか。情報ありがとう。
MAXってもうCPLDじゃないのか。

CycloneVスタータ開発ボード買おうと思ってたけど、
ALTERAのMAX10開発キットと迷いはじめてきたなぁ。
nanoをいじりながら、もう少し待つか。

95 :
Cyclone 6 もまだなのに気が早いな

96 :
安いFPGAはしばらく性能をあげないと思う
MAX10みたいな置き換えはおきるだろうけど

97 :
いまFPGAマガジンのNo2見てUSBの設計理解しようとしてるんだけど、
読んでるとなんか頭が混乱してくる。
結局、何をどう設計してどういう通信させればいいんだ、これ

どっかのサイトでオブジェクト指向的につくられてるみたいな説明が
あったけど、そっち見たほうがいいのかな。

98 :
AVR向けUSBエミュレータのソースでも読んだら?

99 :
作動シリアルで番号が振られてパケットになってるだけでそ

100 :
基本はそうなんだけどね。

OSに認識させて、イワユルUSB機器として使えるようにしようとすると結構めんどくさい。
Aで動いたけどBに繋ぐと認識もしないとか・・・・
チンケな奴でもいいから、最低限プロトコル・アナライザ程度は用意しないと厳しいと思うよ。

参考にするならこの辺かな↓ 
USB 1.1 Function IP Core http://opencores.org/project,usb1_funct

それなりにバグは残ってるけど、参考にはなるよ


100〜のスレッドの続きを読む
教えてください!
【RaspberryPi】1ボードPCを語るスレ16【Pine64】
【な】秋月、千石、若松などを語るスレ52
自営業 悩みごと相談室 50
【温調】はんだごてについて語るスレ【ガス】 No7
【FPGA/CPLD】 XILINX/ALTERA/Lattice/Actel #27
【ネオジム】磁石・マグネット総合【Rイト他】
アッテネータは和製めちゃくちゃ語
TTL CPU 唯様 コーナー
鬱でも必死で会社に言ってる人【電電−8】
--------------------
【河内国一之宮】 枚岡神社 【元春日】
お金持ちになりやすい血液型
ゲラン GUERLAIN 18
☆★★キャシャーンのCG★★★
【グモッ】人身事故スレ◆Part854【チュイーーン】
株質問・すごく優しく答えるスレ258
【2019】日本郵政グループ 地域基幹職/エリア基幹職
職業選択の自由を本当に奪われているのは男性
高見あいら
サッカーが野球に勝てない理由を考えよう
Glashutte Original グラスヒュッテオリジナル 8
大阪スクールオブミュージック専門学校
チラシの裏in携帯ゲーRPG板
たたみ
立川のインディーズバンド
■ 集団ストーカーの犯人は「嘘つきで大げさ」 ■
少女連続強姦の在米韓国男 「文化的誤解」無罪主張★6
【熊本】株式会社ヒューマン【派遣、請負】
楽器、作曲ができないボーカルってなんなの?
タミヤMMシリーズを応援するスレ37
TOP カテ一覧 スレ一覧 100〜終まで 2ch元 削除依頼